Computer Systems Design Publications
2020
Accurate Emulation of Memristive Crossbar Arrays for in-Memory Computing
Anastasios Petropoulos, Irem Boybat, Manuel Le Gallo, Evangelos Eleftheriou, Abu Sebastian, Theodore Antonakopoulos
IEEE International Symposium on Circuits and Systems (ISCAS), 2020
Abstract
Anastasios Petropoulos, Irem Boybat, Manuel Le Gallo, Evangelos Eleftheriou, Abu Sebastian, Theodore Antonakopoulos
IEEE International Symposium on Circuits and Systems (ISCAS), 2020
Abstract
ESSOP: Efficient and Scalable Stochastic Outer Product Architecture for Deep Learning
Vinay Joshi, Geethan Karunaratne, Manuel Le Gallo, Irem Boybat, Christophe Piveteau, Abu Sebastian, Bipin Rajendran, Evangelos Eleftheriou
IEEE International Symposium on Circuits and Systems (ISCAS), 2020
Abstract
Vinay Joshi, Geethan Karunaratne, Manuel Le Gallo, Irem Boybat, Christophe Piveteau, Abu Sebastian, Bipin Rajendran, Evangelos Eleftheriou
IEEE International Symposium on Circuits and Systems (ISCAS), 2020
Abstract
Privacy-Aware Human Activity Recognition From a Wearable Camera: Highlights From the IEEE Video And Image Processing Cup 2019 Student Competition [SP Competitions]
Girmaw Abebe Tadesse, Oliver Bent, Lucio Marcenaro, Komminist Weldemariam, Andrea Cavallaro
IEEE Signal Processing Magazine 37(3), 168-172, 2020
wearable computer, student competition, image processing, human computer interaction, computer vision, computer science, artificial intelligence, activity recognition
Girmaw Abebe Tadesse, Oliver Bent, Lucio Marcenaro, Komminist Weldemariam, Andrea Cavallaro
IEEE Signal Processing Magazine 37(3), 168-172, 2020
wearable computer, student competition, image processing, human computer interaction, computer vision, computer science, artificial intelligence, activity recognition
Accurate deep neural network inference using computational phase-change memory
V. Joshi, M. Le Gallo, S. Haefeli, I. Boybat, S. R. Nandakumar, C. Piveteau, M. Dazzi, B. Rajendran, A. Sebastian, E. Eleftheriou
Nature Communications, 2020
V. Joshi, M. Le Gallo, S. Haefeli, I. Boybat, S. R. Nandakumar, C. Piveteau, M. Dazzi, B. Rajendran, A. Sebastian, E. Eleftheriou
Nature Communications, 2020
Memory devices and applications for in-memory computing
Abu Sebastian, Manuel Le Gallo, Riduan Khaddam-Aljameh, Evangelos Eleftheriou
Nature Nanotechnology, 2020
Abstract
Abu Sebastian, Manuel Le Gallo, Riduan Khaddam-Aljameh, Evangelos Eleftheriou
Nature Nanotechnology, 2020
Abstract
GaaS-X: Graph Analytics Accelerator Supporting Sparse Data Representation using Crossbar Architectures
N. Challapalle, S. Rampalli, L. Song, N. Chandramoorthy, K. Swaminathan, J. Sampson, Y. Chen, V. Narayanan
International Symposium for Computer Architecture (ISCA) , 2020
N. Challapalle, S. Rampalli, L. Song, N. Chandramoorthy, K. Swaminathan, J. Sampson, Y. Chen, V. Narayanan
International Symposium for Computer Architecture (ISCA) , 2020
Lynceus: Tuning and Provisioning Data Analytic Jobs on a Budget
Maria Casimiro, Diego Didona, Paolo Romano, Lu\'{\i}s E. T. Rodrigues, Willy Zwaenepoel
40th IEEE International Conference on Distributed Computing Systems (ICDCS), 2020
Maria Casimiro, Diego Didona, Paolo Romano, Lu\'{\i}s E. T. Rodrigues, Willy Zwaenepoel
40th IEEE International Conference on Distributed Computing Systems (ICDCS), 2020
Map Generation from Large Scale Incomplete and Inaccurate Data Labels
Rui Zhang, Conrad Albrecht, Wei Zhang, Xiaodong Cui, Ulrich Finkler, David Kung, Siyuan Lu
26th SIGKDD Conference on Knowledge Discovery and Data Mining (KDD), 2020, (Oral, Applied Data Science Track)
Rui Zhang, Conrad Albrecht, Wei Zhang, Xiaodong Cui, Ulrich Finkler, David Kung, Siyuan Lu
26th SIGKDD Conference on Knowledge Discovery and Data Mining (KDD), 2020, (Oral, Applied Data Science Track)
Accurate deep neural network inference using computational phase-change memory
Vinay Joshi, Manuel Le Gallo*, Simon Haefeli, Irem Boybat, S. R. Nandakumar, Christophe Piveteau, Martino Dazzi, Bipin Rajendran, Abu Sebastian*, Evangelos Eleftheriou
Nature Communications, 2020
Abstract
Vinay Joshi, Manuel Le Gallo*, Simon Haefeli, Irem Boybat, S. R. Nandakumar, Christophe Piveteau, Martino Dazzi, Bipin Rajendran, Abu Sebastian*, Evangelos Eleftheriou
Nature Communications, 2020
Abstract
Distributed Training of Deep Neural Network Acoustic Models for Automatic Speech Recognition: A comparison of current training strategies
Xiaodong Cui, Wei Zhang, Ulrich Finkler, George Saon, Michael Picheny, David Kung
IEEE Signal Processing Magazine 37(3), 39-49, 2020
Xiaodong Cui, Wei Zhang, Ulrich Finkler, George Saon, Michael Picheny, David Kung
IEEE Signal Processing Magazine 37(3), 39-49, 2020
2019
Accelerated ML-assisted Tumor Detection in High-Resolution Histopathology Images
N. Ioannou, M. Stanisavljevic, A. Anghel, N. Papandreou, S. Andani, J.-H. Rueschoff, P. Wild, M. Gabrani, H. Pozidis
International Conference on Medical Image Computing and Computer Assisted Intervention (MICCAI), 2019
N. Ioannou, M. Stanisavljevic, A. Anghel, N. Papandreou, S. Andani, J.-H. Rueschoff, P. Wild, M. Gabrani, H. Pozidis
International Conference on Medical Image Computing and Computer Assisted Intervention (MICCAI), 2019
Applications of Computation-In-Memory Architectures based on Memristive Devices
Said Hamdioui, Abu Sebastian, Sandeep Pande, Shidhartha Das, Geethan Karunaratne, Hoang Anh Du Nguyen, Manuel Le Gallo et al.
Proceedings of DATE, 2019
Abstract
Said Hamdioui, Abu Sebastian, Sandeep Pande, Shidhartha Das, Geethan Karunaratne, Hoang Anh Du Nguyen, Manuel Le Gallo et al.
Proceedings of DATE, 2019
Abstract
Distributed Deep Learning Strategies For Automatic Speech Regcontion
Wei Zhang, Xiaodong Cui, Ulrich Finkler, Brian Kingsbury, George Saon, David Kung, Michael Picheny
International Conference on Acoustics, Speech, and Signal Processing (ICASSP'2019, Lecture Session)
Wei Zhang, Xiaodong Cui, Ulrich Finkler, Brian Kingsbury, George Saon, David Kung, Michael Picheny
International Conference on Acoustics, Speech, and Signal Processing (ICASSP'2019, Lecture Session)
Multi-ReRAM synapses for artificial neural network training
I. Boybat, C. Giovinazzo, E. Shahrabi, I. Krawczuk, I. Giannopoulos, C. Piveteau, M. Le Gallo, C. Ricciardi, A. Sebastian, E. Eleftheriou, Y. Leblebici
IEEE International Symposium on Circuits and Systems (ISCAS), 2019
I. Boybat, C. Giovinazzo, E. Shahrabi, I. Krawczuk, I. Giannopoulos, C. Piveteau, M. Le Gallo, C. Ricciardi, A. Sebastian, E. Eleftheriou, Y. Leblebici
IEEE International Symposium on Circuits and Systems (ISCAS), 2019
Summit and Sierra: Designing AI/HPC Supercomputers
J. A. Kahle, J. Moreno, D. Dreps
IEEE International Solid-State Circuits Conference (ISSCC), 2019
J. A. Kahle, J. Moreno, D. Dreps
IEEE International Solid-State Circuits Conference (ISSCC), 2019
Resilient Low Voltage Accelerators for High Energy Efficiency
Nandhini Chandramoorthy, Karthik Swaminathan, Martin Cochet, Arun Paidimarri, Schuyler Eldridge, Matthew Ziegler, Rajiv Joshi, Alper Buyuktosunoglu, Pradip Bose
HPCA, IEEE Computer Society, 2019
Nandhini Chandramoorthy, Karthik Swaminathan, Martin Cochet, Arun Paidimarri, Schuyler Eldridge, Matthew Ziegler, Rajiv Joshi, Alper Buyuktosunoglu, Pradip Bose
HPCA, IEEE Computer Society, 2019
Computational memory-based inference and training of deep neural networks
Abu Sebastian*, Irem Boybat, Martino Dazzi, Iason Giannopoulos, Varaprasad Jonnalagadda, Vinay Joshi, Geethan Karunaratne, Benedikt Kersting, Riduan Khaddam-Aljameh, S. R. Nandakumar, Anastasios Petropoulos, Christophe Piveteau, Theodore Antonakopoulos, Bipin Rajendran, Manuel Le Gallo, Evangelos Eleftheriou
Proc. VLSI Symposium, 2019
Abstract
Abu Sebastian*, Irem Boybat, Martino Dazzi, Iason Giannopoulos, Varaprasad Jonnalagadda, Vinay Joshi, Geethan Karunaratne, Benedikt Kersting, Riduan Khaddam-Aljameh, S. R. Nandakumar, Anastasios Petropoulos, Christophe Piveteau, Theodore Antonakopoulos, Bipin Rajendran, Manuel Le Gallo, Evangelos Eleftheriou
Proc. VLSI Symposium, 2019
Abstract
Localised states and their capture characteristics in amorphous phase-change materials
Martin Ruetten, Andreas Geilen, Abu Sebastian, Daniel Krebs, Martin Salinga
Nature Scientific Reports, 2019
Abstract
Martin Ruetten, Andreas Geilen, Abu Sebastian, Daniel Krebs, Martin Salinga
Nature Scientific Reports, 2019
Abstract
SILK: Preventing Latency Spikes in Log-Structured Merge Key-Value Stores
Oana Balmau, Florin Dinu, Willy Zwaenepoel, Karan Gupta, Ravishankar Chandhiramoorthi, Diego Didona
2019 USENIX Annual Technical Conference (USENIX ATC 19), USENIX Association
Oana Balmau, Florin Dinu, Willy Zwaenepoel, Karan Gupta, Ravishankar Chandhiramoorthi, Diego Didona
2019 USENIX Annual Technical Conference (USENIX ATC 19), USENIX Association
5 Parallel Prism: A topology for pipelinedimplementations of convolutional neural networksusing computational memory
Martino Dazzi, Abu Sebastian, Pier Andrea Francese, Thomas Parnell, Luca Benini, Evangelos Eleftheriou
MLSys Workshop on Systems for ML, NeurIPS 2019
Abstract
Martino Dazzi, Abu Sebastian, Pier Andrea Francese, Thomas Parnell, Luca Benini, Evangelos Eleftheriou
MLSys Workshop on Systems for ML, NeurIPS 2019
Abstract
Computational memory-based inference and training of deep neural networks
A. Sebastian, I. Boybat, M. Dazzi, I. Giannopoulos, V. Jonnalagadda, V. Joshi, G. Karunaratne, B. Kersting, R. Khaddam-Aljameh, S. R. Nandakumar, A. Petropoulos, C. Piveteau, T. Antonakopoulos, B. Rajendran, M. Le Gallo, E. Eleftheriou
VLSI Symposium, 2019
A. Sebastian, I. Boybat, M. Dazzi, I. Giannopoulos, V. Jonnalagadda, V. Joshi, G. Karunaratne, B. Kersting, R. Khaddam-Aljameh, S. R. Nandakumar, A. Petropoulos, C. Piveteau, T. Antonakopoulos, B. Rajendran, M. Le Gallo, E. Eleftheriou
VLSI Symposium, 2019
DeepTools: Compiler and Execution Runtime Extensions for RaPiD AI Accelerator
Swagath Venkataramani, Jungwook Choi, Vijayalakshmi Srinivasan, Wei Wang, Jintao Zhang, Marcel Schaal, Mauricio Serrano, Kazuaki Ishizaki, Hiroshi Inoue, Eri Ogawa, Moriyoshi Ohara, Leland Chang
IEEE Micro , 2019
Swagath Venkataramani, Jungwook Choi, Vijayalakshmi Srinivasan, Wei Wang, Jintao Zhang, Marcel Schaal, Mauricio Serrano, Kazuaki Ishizaki, Hiroshi Inoue, Eri Ogawa, Moriyoshi Ohara, Leland Chang
IEEE Micro , 2019
Coherently Attached Programmable Near-Memory Acceleration Platform and its application to Stencil Processing
Jan van Lunteren, Ronald Luijten, Dionysios Diamantopoulos, Florian Auernhammer, Christoph Hagleitner, Lorenzo Chelini, Stefano Corda, Gagandeep Singh
2019 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 668-673
stencil, parallel computing, computer science, computational science, acceleration
Jan van Lunteren, Ronald Luijten, Dionysios Diamantopoulos, Florian Auernhammer, Christoph Hagleitner, Lorenzo Chelini, Stefano Corda, Gagandeep Singh
2019 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 668-673
stencil, parallel computing, computer science, computational science, acceleration
SySCD: A System-Aware Parallel Coordinate Descent Algorithm
Nikolas Ioannou*, Celestine Mendler-Duenner*, Thomas Parnell (*equal contribution)
Thirty-third Conference on Neural Information Processing Systems (NeurIPS'19), 2019
Selected for Spotlight presentation (acceptance rate 3%)
Nikolas Ioannou*, Celestine Mendler-Duenner*, Thomas Parnell (*equal contribution)
Thirty-third Conference on Neural Information Processing Systems (NeurIPS'19), 2019
Selected for Spotlight presentation (acceptance rate 3%)
Deep learning acceleration based on in-memory computing
Evangelos Eleftheriou, Manuel Le Gallo, S. R. Nandakumar, Christophe Piveteau, Irem Boybat, Vinay Joshi, Riduan Khaddam-Aljameh, Martino Dazzi, Iason Giannopoulos, Geethan Karunaratne, Benedikt Kersting, Milos Stanisavljevic, Varaprasad Jonnalagadda, Nikolas Ioannou, Kornelious Kourtis, Pier Andrea Francese, Abu Sebastian
IBM Journal of Research and Development 63(6), 2019
Abstract
Evangelos Eleftheriou, Manuel Le Gallo, S. R. Nandakumar, Christophe Piveteau, Irem Boybat, Vinay Joshi, Riduan Khaddam-Aljameh, Martino Dazzi, Iason Giannopoulos, Geethan Karunaratne, Benedikt Kersting, Milos Stanisavljevic, Varaprasad Jonnalagadda, Nikolas Ioannou, Kornelious Kourtis, Pier Andrea Francese, Abu Sebastian
IBM Journal of Research and Development 63(6), 2019
Abstract
On Using Blockchain Based Workflows
N. Bore, A. Kinai, J. Mutahi, D. Kaguma, F. Otieno, S. L. Remy, K. Weldemariam
2019 IEEE International Conference on Blockchain and Cryptocurrency (ICBC)
Abstract
N. Bore, A. Kinai, J. Mutahi, D. Kaguma, F. Otieno, S. L. Remy, K. Weldemariam
2019 IEEE International Conference on Blockchain and Cryptocurrency (ICBC)
Abstract
A 250-mW 60-GHz CMOS Transceiver SoC Integrated With a Four-Element AiP Providing Broad Angular Link Coverage
Bodhisatwa Sadhu, Alberto Valdes-Garcia, Jean-Olivier Plouchart, Herschel Ainspan, Arpit K Gupta, Mark Ferriss, Mark Yeck, Mihai Sanduleanu, Xiaoxiong Gu, Christian W Baks, others
IEEE Journal of Solid-State Circuits, IEEE, 2019
Bodhisatwa Sadhu, Alberto Valdes-Garcia, Jean-Olivier Plouchart, Herschel Ainspan, Arpit K Gupta, Mark Ferriss, Mark Yeck, Mihai Sanduleanu, Xiaoxiong Gu, Christian W Baks, others
IEEE Journal of Solid-State Circuits, IEEE, 2019
Deep learning acceleration based on in-memory computing
E. Eleftheriou, M. L. Gallo, S. R. Nandakumar, C. Piveteau, I. Boybat, V. Joshi, R. Khaddam-Aljameh, M. Dazzi, I. Giannopoulos, G. Karunaratne, B. Kersting, M. Stanisavljevic, V. P. Jonnalagadda, N. Ioannou, K. Kourtis, P. A. Francese, A. Sebastian
IBM Journal of Research and Development 63(6), 7:1-7:16, 2019
E. Eleftheriou, M. L. Gallo, S. R. Nandakumar, C. Piveteau, I. Boybat, V. Joshi, R. Khaddam-Aljameh, M. Dazzi, I. Giannopoulos, G. Karunaratne, B. Kersting, M. Stanisavljevic, V. P. Jonnalagadda, N. Ioannou, K. Kourtis, P. A. Francese, A. Sebastian
IBM Journal of Research and Development 63(6), 7:1-7:16, 2019
NARMADA: Near-Memory Horizontal Diffusion Accelerator for Scalable Stencil Computations
Gagandeep Singh, Dionysios Diamantopoulos, Christoph Hagleitner, Sander Stuijk, Henk Corporaal
2019 29th International Conference on Field Programmable Logic and Applications (FPL), pp. 263-269
Abstract thread, stencil, static random access memory, scalability, parallel computing, memory model, memory hierarchy, kernel, field programmable gate array, computer science
Gagandeep Singh, Dionysios Diamantopoulos, Christoph Hagleitner, Sander Stuijk, Henk Corporaal
2019 29th International Conference on Field Programmable Logic and Applications (FPL), pp. 263-269
Abstract thread, stencil, static random access memory, scalability, parallel computing, memory model, memory hierarchy, kernel, field programmable gate array, computer science
Training Large-Scale Spiking Neural Networks on Multi-core Neuromorphic System Using Backpropagation
Megumi Ito, Malte J. Rasch, Masatoshi Ishii, Atsuya Okazaki, Sangbum Kim, Junka Okazawa, Akiyo Nomura, Kohji Hosokawa, Wilfried Haensch
International Conference on Neural Information Processing, pp. 185-194, 2019
Megumi Ito, Malte J. Rasch, Masatoshi Ishii, Atsuya Okazaki, Sangbum Kim, Junka Okazawa, Akiyo Nomura, Kohji Hosokawa, Wilfried Haensch
International Conference on Neural Information Processing, pp. 185-194, 2019
IBM z14: Processor Characterization and Power Management for High-Reliability Mainframe Systems
Christopher Berry, David Wolpert, Christos Vezrytzis, Richard Rizzolo, Sean Carey, Yaniv Maroz, Hunter Shi, Dureseti Chidambarrao, Christian Jacobi, Anthony Saporito, Thomas Strach, Alper Buyuktosunoglu, Preetham Lobo, Pierce Chuang, Pawel Owczarczyk, Ramon Bertran, Tobias Webel, Phillip J. Restle
IEEE Journal of Solid-state Circuits 54(1), 121-132, 2019
Abstract voltage, scalability, response time, power management, ibm, embedded system, electronic engineering, critical path method, computer science, chip, built in self test
Christopher Berry, David Wolpert, Christos Vezrytzis, Richard Rizzolo, Sean Carey, Yaniv Maroz, Hunter Shi, Dureseti Chidambarrao, Christian Jacobi, Anthony Saporito, Thomas Strach, Alper Buyuktosunoglu, Preetham Lobo, Pierce Chuang, Pawel Owczarczyk, Ramon Bertran, Tobias Webel, Phillip J. Restle
IEEE Journal of Solid-state Circuits 54(1), 121-132, 2019
Abstract voltage, scalability, response time, power management, ibm, embedded system, electronic engineering, critical path method, computer science, chip, built in self test
Generation of Stressmarks for Early Stage Soft-Error Modeling
Karthik Swaminathan, Ramon Bertran, Hans Jacobson, Prabhakar Kudva, Pradip Bose
2019 49th Annual IEEE/IFIP International Conference on Dependable Systems and Networks--Supplemental Volume (DSN-S), pp. 42--48
Karthik Swaminathan, Ramon Bertran, Hans Jacobson, Prabhakar Kudva, Pradip Bose
2019 49th Annual IEEE/IFIP International Conference on Dependable Systems and Networks--Supplemental Volume (DSN-S), pp. 42--48
Optimal and general out-of-order sliding-window aggregation
Kanat Tangwongsan, Martin Hirzel, Scott Schneider
very large data bases, pp. 1167-1180, 2019
sliding window protocol, out of order execution, data mining, computer science
Kanat Tangwongsan, Martin Hirzel, Scott Schneider
very large data bases, pp. 1167-1180, 2019
sliding window protocol, out of order execution, data mining, computer science
Reaching Data Confidentiality and Model Accountability on the CalTrain
Zhongshu Gu, Hani Jamjoom, Dong Su, Heqing Huang, Jialong Zhang, Tengfei Ma, Dimitrios Pendarakis, Ian Molloy
49th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN), 2019
Zhongshu Gu, Hani Jamjoom, Dong Su, Heqing Huang, Jialong Zhang, Tengfei Ma, Dimitrios Pendarakis, Ian Molloy
49th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN), 2019
2018
Demonstration of NFV for Mobile Edge Computing on an Optically Disaggregated Datacentre in a Box
Enrico, Michael P and Mishra, Vaibhawa and Saljoghei, Arsalan and Bielski, Maciej and Pap, Evert and Syrigos, Ilias and de Dios, Oscar Gonzalez and Theodoropoulos, Dimitris and Pnevmatikatos, Dionisios and Reale, Andrea and others
Optical Fiber Communication Conference, pp. Tu3D--14, 2018
Abstract
Enrico, Michael P and Mishra, Vaibhawa and Saljoghei, Arsalan and Bielski, Maciej and Pap, Evert and Syrigos, Ilias and de Dios, Oscar Gonzalez and Theodoropoulos, Dimitris and Pnevmatikatos, Dionisios and Reale, Andrea and others
Optical Fiber Communication Conference, pp. Tu3D--14, 2018
Abstract
dReDBox: Demonstrating Disaggregated Memory in an Optical Data Centre
Saljoghei, Arsalan and Enrico, Michael P and Syrivelis, Dimitris and Katrinis, Kostas and Reale, Andrea and Bielski, Maciej and Syriogs, Ilias and Pnevmatikatos, Dionisios and Theodoropoulos, Dimitris and Parsons, Nick J and others
Optical Fiber Communication Conference, pp. W1C--1, 2018
Abstract
Saljoghei, Arsalan and Enrico, Michael P and Syrivelis, Dimitris and Katrinis, Kostas and Reale, Andrea and Bielski, Maciej and Syriogs, Ilias and Pnevmatikatos, Dionisios and Theodoropoulos, Dimitris and Parsons, Nick J and others
Optical Fiber Communication Conference, pp. W1C--1, 2018
Abstract
Cascaded and resonant SRAM supply boosting for ultra-low voltage
cognitive IoT applications
Rajiv V. Joshi, Matthew M. Ziegler, Karthik Swaminathan, Nandhini Chandramoorthy
CICC, pp. 1--4, IEEE, 2018
Rajiv V. Joshi, Matthew M. Ziegler, Karthik Swaminathan, Nandhini Chandramoorthy
CICC, pp. 1--4, IEEE, 2018
Wren: Nonblocking reads in a partitioned transactional causally consistent data store
Kristina Spirovska, Diego Didona, Willy Zwaenepoel
2018 48th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN), pp. 1--12
Kristina Spirovska, Diego Didona, Willy Zwaenepoel
2018 48th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN), pp. 1--12
A Scalable Multi- TeraOPS Deep Learning Processor Core for AI Trainina and Inference
Bruce M. Fleischer, Sunil Shukla, Matthew M. Ziegler, Joel Silberman, Jinwook Oh, Vijayalakshmi Srinivasan, Jungwook Choi, Silvia Mueller, Ankur Agrawal, Tina Babinsky, Nianzheng Cao, Chia-Yu Chen, Pierce Chuang, Thomas W. Fox, George Gristede, Michael Guillorn, Howard Haynie, Michael Klaiber, Dongsoo Lee, Shih-Hsien Lo, Gary W. Maier, Michael Scheuermann, Swagath Venkataramani, Christos Vezyrtzis, Naigang Wang, Fanchieh Yee, Ching Zhou, Pong-Fei Lu, Brian W. Curran, Leland Chang, Kailash Gopalakrishnan
2018 IEEE Symposium on VLSI Circuits, pp. 35-36
Bruce M. Fleischer, Sunil Shukla, Matthew M. Ziegler, Joel Silberman, Jinwook Oh, Vijayalakshmi Srinivasan, Jungwook Choi, Silvia Mueller, Ankur Agrawal, Tina Babinsky, Nianzheng Cao, Chia-Yu Chen, Pierce Chuang, Thomas W. Fox, George Gristede, Michael Guillorn, Howard Haynie, Michael Klaiber, Dongsoo Lee, Shih-Hsien Lo, Gary W. Maier, Michael Scheuermann, Swagath Venkataramani, Christos Vezyrtzis, Naigang Wang, Fanchieh Yee, Ching Zhou, Pong-Fei Lu, Brian W. Curran, Leland Chang, Kailash Gopalakrishnan
2018 IEEE Symposium on VLSI Circuits, pp. 35-36
An empirical study of the effect of source-level loop transformations on compiler stability
Zhangxiaowen Gong, Zhi Chen, Justin Josef Szaday, David C. Wong, Zehra Sura, Neftali Watkinson, Saeed Maleki, David A. Padua, Alexander V. Veidenbaum, Alexandru Nicolau, Josep Torrellas
Proceedings of the ACM on Programming Languages archive2, 2018
Abstract vectorization, program optimization, performance improvement, parallel computing, order of operations, optimizing compiler, for loop, computer science, compiler, brute force search
Zhangxiaowen Gong, Zhi Chen, Justin Josef Szaday, David C. Wong, Zehra Sura, Neftali Watkinson, Saeed Maleki, David A. Padua, Alexander V. Veidenbaum, Alexandru Nicolau, Josep Torrellas
Proceedings of the ACM on Programming Languages archive2, 2018
Abstract vectorization, program optimization, performance improvement, parallel computing, order of operations, optimizing compiler, for loop, computer science, compiler, brute force search
Supporting Knowledge Creation through HAS: The Hyperknowledge Annotation System
M. Ferreira Moreno, W. H. Sousa Dos Santos, R. Costa Mesquita Santos, R. Fontoura De Gusmao Cerqueira
2018 IEEE International Symposium on Multimedia (ISM), pp. 239-246
M. Ferreira Moreno, W. H. Sousa Dos Santos, R. Costa Mesquita Santos, R. Fontoura De Gusmao Cerqueira
2018 IEEE International Symposium on Multimedia (ISM), pp. 239-246
Supporting Multimedia Retrieval in Annotated Content using Hyperknowledge
M. Ferreira Moreno, W. H. Sousa dos Santos, R. Costa Mesquita Santos, P. Torres Pereira Carrion, R. Fontoura de Gusmão Cerqueira
2018 IEEE International Symposium on Multimedia (ISM), pp. 231-238
M. Ferreira Moreno, W. H. Sousa dos Santos, R. Costa Mesquita Santos, P. Torres Pereira Carrion, R. Fontoura de Gusmão Cerqueira
2018 IEEE International Symposium on Multimedia (ISM), pp. 231-238
Analytic Multi-Core Processor Model for Fast Design-Space Exploration
Rik Jongerius, Andreea Anghel, Gero Dittmann, Giovanni Mariani, Erik Vermij, Henk Corporaal
IEEE Transactions on Computers, 2018
Rik Jongerius, Andreea Anghel, Gero Dittmann, Giovanni Mariani, Erik Vermij, Henk Corporaal
IEEE Transactions on Computers, 2018
Benchmarking and Optimization of Gradient Boosting Decision Tree Algorithms
Andreea Anghel, Nikolaos Papandreou, Thomas Parnell, Alessandro De Palma, Haralampos Pozidis
MLSys: Workshop on Systems for ML, NeurIPS'18 Workshops, 2018
Andreea Anghel, Nikolaos Papandreou, Thomas Parnell, Alessandro De Palma, Haralampos Pozidis
MLSys: Workshop on Systems for ML, NeurIPS'18 Workshops, 2018
Building a cognitive platform for the managed IT services lifecycle
Kristof Kloeckner, Constantin Adam, Nikos Anerousis, Naga Ayachitula, Muhammed Fatih Bulut, Gargi Dasgupta, Yu Deng, Yixin Diao, Nicholas C. Fuller, Sandeep Gopisetty, Milton Hernandez, Jinho Hwang, P. Iannucci, Anup K. Kalia, Giovanni Lanfranchi, David Lanyi, Heiko Ludwig, A. Mahamuni, Ruchi Mahindru, Fan Jing Meng, Hamid R. Motahari Nezhad, Karin Murthy, Taiga Nakamura, Amit M. Paradkar, Dennis A. Perpetua, Birgit Pfitzmann, Daniela Rosu, Larisa Shwartz, Zhuo Su, Maheswaran Surendra, S. Tao, Hagen Volzer,
IBM Journal of Research and Development, 2018
Kristof Kloeckner, Constantin Adam, Nikos Anerousis, Naga Ayachitula, Muhammed Fatih Bulut, Gargi Dasgupta, Yu Deng, Yixin Diao, Nicholas C. Fuller, Sandeep Gopisetty, Milton Hernandez, Jinho Hwang, P. Iannucci, Anup K. Kalia, Giovanni Lanfranchi, David Lanyi, Heiko Ludwig, A. Mahamuni, Ruchi Mahindru, Fan Jing Meng, Hamid R. Motahari Nezhad, Karin Murthy, Taiga Nakamura, Amit M. Paradkar, Dennis A. Perpetua, Birgit Pfitzmann, Daniela Rosu, Larisa Shwartz, Zhuo Su, Maheswaran Surendra, S. Tao, Hagen Volzer,
IBM Journal of Research and Development, 2018
dReDBox: Materializing a full-stack rack-scale system prototype of a next-generation disaggregated datacenter
M. Bielski, I. Syrigos, K. Katrinis, D. Syrivelis, A. Reale, D. Theodoropoulos, N. Alachiotis, D. Pnevmatikatos, E.H. Pap, G. Zervas, V. Mishra, A. Saljoghei, A. Rigo, J. Fernando Zazo, S. Lopez-Buedo, Marti Torrents, Ferad Hasanov Zyulkyarov, M. Enrico, O. Gonzalez de Dios
2018 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 1093-1098
Abstract virtual machine, system software, spare part, resource allocation, real time computing, middleware, hypervisor, fragmentation, computer science, analytics
M. Bielski, I. Syrigos, K. Katrinis, D. Syrivelis, A. Reale, D. Theodoropoulos, N. Alachiotis, D. Pnevmatikatos, E.H. Pap, G. Zervas, V. Mishra, A. Saljoghei, A. Rigo, J. Fernando Zazo, S. Lopez-Buedo, Marti Torrents, Ferad Hasanov Zyulkyarov, M. Enrico, O. Gonzalez de Dios
2018 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 1093-1098
Abstract virtual machine, system software, spare part, resource allocation, real time computing, middleware, hypervisor, fragmentation, computer science, analytics
IBM z14: Processor Characterization and Power Management for High-Reliability Mainframe Systems
Christopher Berry, David Wolpert, Christos Vezrytzis, Richard Rizzolo, Sean Carey, Yaniv Maroz, Hunter Shi, Dureseti Chidambarrao, Christian Jacobi, Anthony Saporito, others
IEEE Journal of Solid-State Circuits 54(1), 121--132, IEEE, 2018
Christopher Berry, David Wolpert, Christos Vezrytzis, Richard Rizzolo, Sean Carey, Yaniv Maroz, Hunter Shi, Dureseti Chidambarrao, Christian Jacobi, Anthony Saporito, others
IEEE Journal of Solid-State Circuits 54(1), 121--132, IEEE, 2018
Security namespace: making Linux security frameworks available to containers
Yuqiong Sun, David Safford, Mimi Zohar, Dimitrios Pendarakis, Zhongshu Gu, Trent Jaeger
Proceedings of the 27th USENIX Conference on Security Symposium, 2018
Yuqiong Sun, David Safford, Mimi Zohar, Dimitrios Pendarakis, Zhongshu Gu, Trent Jaeger
Proceedings of the 27th USENIX Conference on Security Symposium, 2018
A taxonomy of task-based parallel programming technologies for high-performance computing
Peter Thoman, Kiril Dichev, Thomas Heller, Roman Iakymchuk, Xavier Aguilar, Khalid Hasanov, Philipp Gschwandtner, Pierre Lemarinier, Stefano Markidis, Herbert Jordan, Thomas Fahringer, Kostas Katrinis, Erwin Laure, Dimitrios S. Nikolopoulos
The Journal of Supercomputing, Elsevier, 2018
Abstract
Peter Thoman, Kiril Dichev, Thomas Heller, Roman Iakymchuk, Xavier Aguilar, Khalid Hasanov, Philipp Gschwandtner, Pierre Lemarinier, Stefano Markidis, Herbert Jordan, Thomas Fahringer, Kostas Katrinis, Erwin Laure, Dimitrios S. Nikolopoulos
The Journal of Supercomputing, Elsevier, 2018
Abstract
Exploiting the non-linear current-voltage characteristics for resistive memory readout
Nikolaos Papandreou, Abu Sebastian, Haralampos Pozidis
The International Symposium on Circuits and Systems (ISCAS) , 2018
Abstract
Nikolaos Papandreou, Abu Sebastian, Haralampos Pozidis
The International Symposium on Circuits and Systems (ISCAS) , 2018
Abstract
Signal and noise extraction from non-volatile memory for neuromorphic computing: A machine learning based approach
T. Ando, N. Gong, T. Ide, S. Kim, I. Boybat, A. Sebastian, V. Narayanan
Neuro Inspired Computational Elements Workshop (NICE), 2018
T. Ando, N. Gong, T. Ide, S. Kim, I. Boybat, A. Sebastian, V. Narayanan
Neuro Inspired Computational Elements Workshop (NICE), 2018
A Software-defined SoC Memory Bus Bridge Architecture for Disaggregated Computing
Syrivelis, Dimitris and Reale, Andrea and Katrinis, Kostas and Pinto, Christian
3rd International Workshop on Advanced Interconnect Solutions and Technologies for Emerging Computing Systems (AISTECS 2018, part of HiPEAC 2018)
Abstract
Syrivelis, Dimitris and Reale, Andrea and Katrinis, Kostas and Pinto, Christian
3rd International Workshop on Advanced Interconnect Solutions and Technologies for Emerging Computing Systems (AISTECS 2018, part of HiPEAC 2018)
Abstract
VELOUR: Very Low Voltage Operation Under Resilience Constraints
Schuyler Eldridge, Alec Roelke, Xinfei Guo, Vaibhav Verma, Karthik Swaminathan, Nandhini Chandramoorthy, Martin Cochet, Alper Buyuktosunoglu, Christos Vezyrtzis, Rajiv Joshi, Matt Ziegler, Mircea Stan, Pradip Bose
GOMACTech, 2018
Schuyler Eldridge, Alec Roelke, Xinfei Guo, Vaibhav Verma, Karthik Swaminathan, Nandhini Chandramoorthy, Martin Cochet, Alper Buyuktosunoglu, Christos Vezyrtzis, Rajiv Joshi, Matt Ziegler, Mircea Stan, Pradip Bose
GOMACTech, 2018
Cascaded and Resonant SRAM Supply Boosting for Ultra-Low Voltage Cognitive IoT Applications
Rajiv V. Joshi, Matthew M. Ziegler, Karthik Swaminathan, Nandhini Chandramoorthy
IEEE Custom Integrated Circuits Conference (CICC), 2018
Rajiv V. Joshi, Matthew M. Ziegler, Karthik Swaminathan, Nandhini Chandramoorthy
IEEE Custom Integrated Circuits Conference (CICC), 2018
Impact of Software Approximations on the Resiliency of a Video Summarization System
Radha Venkatagiri, Karthik Swaminathan, Chung-Ching Lin, Liang Wang, Alper Buyuktosunoglu, Pradip Bose
48th IEEE/IFIP International Conference on Dependable Systems and Networks (DSN 2018), IEEE
Radha Venkatagiri, Karthik Swaminathan, Chung-Ching Lin, Liang Wang, Alper Buyuktosunoglu, Pradip Bose
48th IEEE/IFIP International Conference on Dependable Systems and Networks (DSN 2018), IEEE
Mixed-precision in-memory computing
Manuel Le Gallo*, Abu Sebastian*, Roland Mathis, Matteo Manica, Heiner Giefers, Tomas Tuma, Costas Bekas, Alessandro Curioni, Evangelos Eleftheriou
Nature Electronics1, 246-253, 2018
Abstract
Manuel Le Gallo*, Abu Sebastian*, Roland Mathis, Matteo Manica, Heiner Giefers, Tomas Tuma, Costas Bekas, Alessandro Curioni, Evangelos Eleftheriou
Nature Electronics1, 246-253, 2018
Abstract
Spiking neural networks enable two-dimensional neurons and unsupervised multi-timescale learning
Timoleon Moraitis*, Abu Sebastian*, Evangelos Eleftheriou
International Joint Conference on Neural Networks (IJCNN), 2018
Abstract
Timoleon Moraitis*, Abu Sebastian*, Evangelos Eleftheriou
International Joint Conference on Neural Networks (IJCNN), 2018
Abstract
Analytic multi-core processor model for fast design-space exploration
Rik Jongerius, Andreea Anghel, Gero Dittmann, Giovanni Mariani, Erik Vermij, Henk Corporaal
Transactions on Computers 67(6), 755-770, IEEE, 2018
*** Featured paper of the month ***
Rik Jongerius, Andreea Anghel, Gero Dittmann, Giovanni Mariani, Erik Vermij, Henk Corporaal
Transactions on Computers 67(6), 755-770, IEEE, 2018
*** Featured paper of the month ***
Shadow Puppets: Cloud-level Accurate AI Inference at the Speed and Economy of Edge
Venugopal, Srikumar and Gazzetti, Michele and Gkoufas, Yiannis and Katrinis, Kostas
USENIX Workshop on Hot Topics in Edge Computing (HotEdge), 2018
Abstract
Venugopal, Srikumar and Gazzetti, Michele and Gkoufas, Yiannis and Katrinis, Kostas
USENIX Workshop on Hot Topics in Edge Computing (HotEdge), 2018
Abstract
Enabling 3D-TLC NAND Flash in Enterprise Storage Systems
Pletka, Roman and Ioannou, Nikolas and Papandreou, Nikolaos and Parnell, Thomas and Tomic, Sasa
ERCIM NEWS pp. 113, 48--49, EUROPEAN RESEARCH CONSORTIUM INFORMATICS & MATHEMATICS 2004, ROUTE LUCIOLES, BP 93, SOPHIA ANTIPOLIS CEDEX, 06902, FRANCE, 2018
Pletka, Roman and Ioannou, Nikolas and Papandreou, Nikolaos and Parnell, Thomas and Tomic, Sasa
ERCIM NEWS pp. 113, 48--49, EUROPEAN RESEARCH CONSORTIUM INFORMATICS & MATHEMATICS 2004, ROUTE LUCIOLES, BP 93, SOPHIA ANTIPOLIS CEDEX, 06902, FRANCE, 2018
Signal and noise extraction from analog memory elements for neuromorphic computing
N. Gong, T. Ide, S. Kim, I. Boybat, A. Sebastian, V. Narayanan, T. Ando
Nature Communications 9(2102), 2018
Abstract
N. Gong, T. Ide, S. Kim, I. Boybat, A. Sebastian, V. Narayanan, T. Ando
Nature Communications 9(2102), 2018
Abstract
Extending the POWER Architecture with Transprecision Co-Processors
Giefers, Heiner and Diamantopoulos, Dionysios
Circuits and Systems (ISCAS), 2018 IEEE International Symposium on, pp. 1--5
Abstract
Giefers, Heiner and Diamantopoulos, Dionysios
Circuits and Systems (ISCAS), 2018 IEEE International Symposium on, pp. 1--5
Abstract
Equivalent-accuracy accelerated neural-network training using analogue memory
S. Ambrogio, P. Narayanan, H. Tsai, R. M. Shelby, I. Boybat, C. di Nolfo, S. Sidler, M. Giordano, M. Bodini, N. C. P. Farinha, B. Killeen, C. Cheng, Y. Jaoudi and G. W. Burr
Nature, 2018
S. Ambrogio, P. Narayanan, H. Tsai, R. M. Shelby, I. Boybat, C. di Nolfo, S. Sidler, M. Giordano, M. Bodini, N. C. P. Farinha, B. Killeen, C. Cheng, Y. Jaoudi and G. W. Burr
Nature, 2018
Neuromorphic computing with multi-memristive synapses
I. Boybat, M. Le Gallo, S. R. Nandakumar, T. Moraitis, T. Parnell, T. Tuma, B. Rajendran, Y. Leblebici, A. Sebastian and E. Eleftheriou
Nature Communications (Designated as one of the top 50 Nature Communications physics articles of 2018)
I. Boybat, M. Le Gallo, S. R. Nandakumar, T. Moraitis, T. Parnell, T. Tuma, B. Rajendran, Y. Leblebici, A. Sebastian and E. Eleftheriou
Nature Communications (Designated as one of the top 50 Nature Communications physics articles of 2018)
Neuromorphic computing with multi-memristive synapses
Irem Boybat*, Manuel Le Gallo, S.R. Nandakumar, Timoleon Moraitis, Thomas Parnell, Tomas Tuma, Bipin Rajendran, Yusuf Leblebici, Abu Sebastian*, Evangelos Eleftheriou
Nature Communications 9(2514), 2018
Abstract
Irem Boybat*, Manuel Le Gallo, S.R. Nandakumar, Timoleon Moraitis, Thomas Parnell, Tomas Tuma, Bipin Rajendran, Yusuf Leblebici, Abu Sebastian*, Evangelos Eleftheriou
Nature Communications 9(2514), 2018
Abstract
Provisioning a bare-metal server
Abali, Bulent and Crumley, Paul G and Franke, Hubertus and Li, Chung-Sheng
US Patent App. 15/337,791
Abstract
Abali, Bulent and Crumley, Paul G and Franke, Hubertus and Li, Chung-Sheng
US Patent App. 15/337,791
Abstract
Performance Characterization of State-Of-The-Art Deep Learning Workloads on an IBM" Minsky" Platform
Guignard, Mauricio and Schild, Marcelo and Bederi{\'a}n, Carlos S and Wolovick, Nicol{\'a}s and Vega, Augusto J
Proceedings of the 51st Hawaii International Conference on System Sciences, 2018
Abstract
Guignard, Mauricio and Schild, Marcelo and Bederi{\'a}n, Carlos S and Wolovick, Nicol{\'a}s and Vega, Augusto J
Proceedings of the 51st Hawaii International Conference on System Sciences, 2018
Abstract
Towards a Composable Computer System
Chung, I and Abali, Bulent and Crumley, Paul and others
Proceedings of the International Conference on High Performance Computing in Asia-Pacific Region, pp. 137--147, 2018
Abstract
Chung, I and Abali, Bulent and Crumley, Paul and others
Proceedings of the International Conference on High Performance Computing in Asia-Pacific Region, pp. 137--147, 2018
Abstract
Cache Management in RDMA Distributed Key/Value Stores Based on Atomic Operations
Michel Hack, Yufei Ren, Yandong Wang, Li Zhang
US Patent 10,031,883; US Patent 10,037,302; US Patent 10,324,890
Michel Hack, Yufei Ren, Yandong Wang, Li Zhang
US Patent 10,031,883; US Patent 10,037,302; US Patent 10,324,890
Energy-secure swarm power management
Vega, Augusto and Buyuktosunoglu, Alper and Bose, Pradip
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2018, pp. 1652--1657
Abstract
Vega, Augusto and Buyuktosunoglu, Alper and Bose, Pradip
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2018, pp. 1652--1657
Abstract
Towards "Smarter" Vehicles through Cloud-Backed Swarm Cognition
A. Vega, A. Buyuktosunoglu, P. Bose
IEEE Intelligent Vehicles Symposium (IV 2018)
A. Vega, A. Buyuktosunoglu, P. Bose
IEEE Intelligent Vehicles Symposium (IV 2018)
2017
Performance Characteristics of Linux for Java Workloads Oversubscribing Memory
Takuya Nakaike Yohei Ueda Takanori and Ohara, Moriyoshi
Conference proceedings Japan Society for Software Science and Technology, 2017
Abstract
Takuya Nakaike Yohei Ueda Takanori and Ohara, Moriyoshi
Conference proceedings Japan Society for Software Science and Technology, 2017
Abstract
SHIVA: An Integrated Toolset for Cross-Layer Modeling in Support of Resilient, Low-Power Embedded Processor Design
Karthik Swaminathan, Ramon Bertran, Schuyler Eldridge, Chen - Yong Cher, Hans Jacobson, Augusto Vega, Alper Buyuktosunoglu, John - David Wellman, Robert Montoye, Pradip Bose
GOMACTech-17, 2017
Karthik Swaminathan, Ramon Bertran, Schuyler Eldridge, Chen - Yong Cher, Hans Jacobson, Augusto Vega, Alper Buyuktosunoglu, John - David Wellman, Robert Montoye, Pradip Bose
GOMACTech-17, 2017
Adaptive Clocking in the POWER9TM Processor for Voltage Droop Protection
Pierce I-Jen Chuang, Christos Vezyrtzis, Divya Pathak, Richard Rizzolo, Tobias Webel, Thomas Strach, Otto Torreiter, Preetham Lobo, Alper Buyuktosunoglu, Ramon Bertran, Michael Floyd, Malcolm Ware, Gerard Salem, Sean Carey, Phillip Restle
IEEE ISSCC Technical Digest, 2017
Pierce I-Jen Chuang, Christos Vezyrtzis, Divya Pathak, Richard Rizzolo, Tobias Webel, Thomas Strach, Otto Torreiter, Preetham Lobo, Alper Buyuktosunoglu, Ramon Bertran, Michael Floyd, Malcolm Ware, Gerard Salem, Sean Carey, Phillip Restle
IEEE ISSCC Technical Digest, 2017
Power Supply Noise in a 22nm z13TM Microprocessor
P. I. Chuang, C. Vezyrtzis, D. Pathak, R. Rizzolo, T. Webel, T. Strach, O. Torreiter, P. Lobo, A. Buyuktosunoglu, R. Bertran, M. Floyd, M. Ware, G. Salem, S. Carey, P. Restle
2017 IEEE International Solid-State Circuits Conference (ISSCC), pp. 438-439
P. I. Chuang, C. Vezyrtzis, D. Pathak, R. Rizzolo, T. Webel, T. Strach, O. Torreiter, P. Lobo, A. Buyuktosunoglu, R. Bertran, M. Floyd, M. Ware, G. Salem, S. Carey, P. Restle
2017 IEEE International Solid-State Circuits Conference (ISSCC), pp. 438-439
MicroProbe: An Open Source Microbenchmark Generator Ported to the RISC-V ISA
Eldridge, Schuyler and Bertran, Ramon and Buyuktosunoglu, Alper and Bose, Pradip
7th RISC-V Workshop, 2017
Abstract Video
Eldridge, Schuyler and Bertran, Ramon and Buyuktosunoglu, Alper and Bose, Pradip
7th RISC-V Workshop, 2017
Abstract Video
BRAVO: Balanced Reliability-Aware Voltage Optimization
K. Swaminathan, N. Chandramoorthy, C. Cher, R. Bertran, A. Buyuktosunoglu, P. Bose
International Symposium on High-Performance Computer Architecture (HPCA), 2017
K. Swaminathan, N. Chandramoorthy, C. Cher, R. Bertran, A. Buyuktosunoglu, P. Bose
International Symposium on High-Performance Computer Architecture (HPCA), 2017
LORE: A Loop Repository for the Evaluation of Compilers
Chen, Zhi and Gong, Zhangxiaowen and Szaday, Justin Josef and Wong, David C and Padua, David and Nicolau, Alexandru and Veidenbaum, Alexander V and Watkinson, Neftali and Sura, Zehra and Maleki, Saeed and others
2017 IEEE International Symposium on Workload Characterization (IISWC), pp. 219--228
Abstract
Chen, Zhi and Gong, Zhangxiaowen and Szaday, Justin Josef and Wong, David C and Padua, David and Nicolau, Alexandru and Veidenbaum, Alexander V and Watkinson, Neftali and Sura, Zehra and Maleki, Saeed and others
2017 IEEE International Symposium on Workload Characterization (IISWC), pp. 219--228
Abstract
26.2 Power supply noise in a 22nm z13â„¢ microprocessor
Pierce, I and Chuang, Jen and Vezyrtzis, Christos and Pathak, Divya and Rizzolo, Richard and Webel, Tobias and Strach, Thomas and Torreiter, Otto and Lobo, Preetham and Buyuktosunoglu, Alper and others
Solid-State Circuits Conference (ISSCC), 2017 IEEE International, pp. 438--439
Abstract
Pierce, I and Chuang, Jen and Vezyrtzis, Christos and Pathak, Divya and Rizzolo, Richard and Webel, Tobias and Strach, Thomas and Torreiter, Otto and Lobo, Preetham and Buyuktosunoglu, Alper and others
Solid-State Circuits Conference (ISSCC), 2017 IEEE International, pp. 438--439
Abstract
A 32Gb/s, 4.7pJ/bit Optical Link with -11.7dBm Sensitivity in 14nm FinFET CMOS
J. Proesel, Z. Toprak-Deniz, A. Cevrero, I. Ozkaya, S. Kim, D. Kuchta, S. Lee, S. Rylov, H. Ainspan, T. Dickson, J. Bulzacchelli, and M. Meghelli
Symposium on VLSI Circuits, pp. 318-319, 2017
J. Proesel, Z. Toprak-Deniz, A. Cevrero, I. Ozkaya, S. Kim, D. Kuchta, S. Lee, S. Rylov, H. Ainspan, T. Dickson, J. Bulzacchelli, and M. Meghelli
Symposium on VLSI Circuits, pp. 318-319, 2017
A 1.8pJ/bit 56Gb/s PAM4 Transmitter with Fractionally-Spaced FFE in 14nm CMOS
T. O. Dickson, H. A. Ainspan, and M. Meghelli
IEEE International Solid-State Circuits Conference (ISSCC), pp. 118-119, 2017
T. O. Dickson, H. A. Ainspan, and M. Meghelli
IEEE International Solid-State Circuits Conference (ISSCC), pp. 118-119, 2017
Very Low Voltage (VLV) Design
Bertran, Ramon and Bose, Pradip and Brooks, David and Burns, Jeff and Buyuktosunoglu, Alper and Chandramoorthy, Nandhini and Cheng, Eric and Cochet, Martin and Eldridge, Schuyler and Friedman, Daniel and others
Computer Design (ICCD), 2017 IEEE International Conference on, pp. 601--604
Abstract
Bertran, Ramon and Bose, Pradip and Brooks, David and Burns, Jeff and Buyuktosunoglu, Alper and Chandramoorthy, Nandhini and Cheng, Eric and Cochet, Martin and Eldridge, Schuyler and Friedman, Daniel and others
Computer Design (ICCD), 2017 IEEE International Conference on, pp. 601--604
Abstract
libPRISM: an intelligent adaptation of prefetch and SMT levels
Ortega, Cristobal and Moreto, Miquel and Casas, Marc and Bertran, Ramon and Buyuktosunoglu, Alper and Eichenberger, Alexandre E and Bose, Pradip
Proceedings of the International Conference on Supercomputing, pp. 28, 2017
Abstract
Ortega, Cristobal and Moreto, Miquel and Casas, Marc and Bertran, Ramon and Buyuktosunoglu, Alper and Eichenberger, Alexandre E and Bose, Pradip
Proceedings of the International Conference on Supercomputing, pp. 28, 2017
Abstract
A Single-Node Datastore for High-Velocity Multidimensional Sensor Data
Juan Colmenares, Reza Dorrigiv, and Daniel Waddington
IEEE International Conference on Big Data (Big Data), 2017
Juan Colmenares, Reza Dorrigiv, and Daniel Waddington
IEEE International Conference on Big Data (Big Data), 2017
Distributed learning of deep feature embeddings for visual recognition tasks
Bhattacharjee, B and Hill, ML and Wu, H and Chandakkar, PS and Smith, JR and Wegman, MN
IBM Journal of Research and Development 61(4), 4--1, IBM, 2017
Abstract
Bhattacharjee, B and Hill, ML and Wu, H and Chandakkar, PS and Smith, JR and Wegman, MN
IBM Journal of Research and Development 61(4), 4--1, IBM, 2017
Abstract
Scalable Linux Container Provisioning in Fog and Edge Computing Platforms
Michele Gazzetti, Andrea Reale, Kostas Katrinis, Antonio Corradi
Euro-Par 2017: Parallel Processing Workshops - Euro-Par 2017 International Workshops, Santiago de Compostela, Spain, August 28-29, 2017, Revised Selected Papers, pp. 304--315
Michele Gazzetti, Andrea Reale, Kostas Katrinis, Antonio Corradi
Euro-Par 2017: Parallel Processing Workshops - Euro-Par 2017 International Workshops, Santiago de Compostela, Spain, August 28-29, 2017, Revised Selected Papers, pp. 304--315
A Low Voltage RISC-V Heterogeneous System
Schuyler Eldridge, Karthik Swaminathan, Nandhini Chandramoorthy, Alper Buyuktosunoglu, Alec Roelke, Xinfei Guo, Vaibhav Verma, Rajiv Joshi, Mircea Stan, Pradip Bose
First Workshop on Computer Architecture Research with RISC-V (CARRV 2017)
Schuyler Eldridge, Karthik Swaminathan, Nandhini Chandramoorthy, Alper Buyuktosunoglu, Alec Roelke, Xinfei Guo, Vaibhav Verma, Rajiv Joshi, Mircea Stan, Pradip Bose
First Workshop on Computer Architecture Research with RISC-V (CARRV 2017)
Very Low Voltage (VLV) Design
Ramon Bertran, Karthik Swaminathan, et al.
IEEE International Conference on Computer Design (ICCD), 2017
Ramon Bertran, Karthik Swaminathan, et al.
IEEE International Conference on Computer Design (ICCD), 2017
Understanding and optimizing the performance of distributed machine learning applications on apache spark
C. Duenner, T. Parnell, K. Atasu, M. Sifalakis, H. Pozidis
Big Data (Big Data), 2017 IEEE International Conference on, pp. 331--338
C. Duenner, T. Parnell, K. Atasu, M. Sifalakis, H. Pozidis
Big Data (Big Data), 2017 IEEE International Conference on, pp. 331--338
An fpga-based integrated mapreduce accelerator platform
Kachris, Christoforos and Diamantopoulos, Dionysios and Sirakoulis, Georgios Ch and Soudris, Dimitrios
Journal of Signal Processing Systems 87(3), 357--369, Springer, 2017
Abstract
Kachris, Christoforos and Diamantopoulos, Dionysios and Sirakoulis, Georgios Ch and Soudris, Dimitrios
Journal of Signal Processing Systems 87(3), 357--369, Springer, 2017
Abstract
Efficient Fork-Join on GPUs Through Warp Specialization
Arpith Chacko Jacob, Alexandre E. Eichenberger, Hyojin Sung, Samuel F. Ant\ {a}o, Gheorghe-Teodor Bercea, Carlo Bertolli, Alexey Bataev, Tian Jin, Tong Chen, Zehra Sura, Georgios Rokos, Kevin O'Brien
24th IEEE International Conference on High Performance Computing, HiPC 2017, Jaipur, India, December 18-21, 2017, pp. 358--367
Arpith Chacko Jacob, Alexandre E. Eichenberger, Hyojin Sung, Samuel F. Ant\ {a}o, Gheorghe-Teodor Bercea, Carlo Bertolli, Alexey Bataev, Tian Jin, Tong Chen, Zehra Sura, Georgios Rokos, Kevin O'Brien
24th IEEE International Conference on High Performance Computing, HiPC 2017, Jaipur, India, December 18-21, 2017, pp. 358--367
Implementing implicit OpenMP data sharing on GPUs
Gheorghe-Teodor Bercea, Carlo Bertolli, Arpith C. Jacob, Alexandre E. Eichenberger, Alexey Bataev, Georgios Rokos, Hyojin Sung, Tong Chen, Kevin O'Brien
Proceedings of the Fourth Workshop on the LLVM Compiler Infrastructure in HPC, LLVM-HPC@SC 2017, Denver, CO, USA, November 13, 2017, pp. 5:1--5:12
Gheorghe-Teodor Bercea, Carlo Bertolli, Arpith C. Jacob, Alexandre E. Eichenberger, Alexey Bataev, Georgios Rokos, Hyojin Sung, Tong Chen, Kevin O'Brien
Proceedings of the Fourth Workshop on the LLVM Compiler Infrastructure in HPC, LLVM-HPC@SC 2017, Denver, CO, USA, November 13, 2017, pp. 5:1--5:12
Multilayer Perceptron Algorithm: Impact of Nonideal Conductance and Area-Efficient Peripheral Circuits
L. L. Sanches, A. Fumarola, S. Sidler, P. Narayanan, I. Boybat, J. Jang, K. Moon, R. M. Shelby, Y. Leblebici, H. Hwang and G. W. Burr
Neuro-inspired Computing Using Resistive Synaptic Devices, 2017
L. L. Sanches, A. Fumarola, S. Sidler, P. Narayanan, I. Boybat, J. Jang, K. Moon, R. M. Shelby, Y. Leblebici, H. Hwang and G. W. Burr
Neuro-inspired Computing Using Resistive Synaptic Devices, 2017
A Low Power, Fully Event-Based Gesture Recognition System
Arnon Amir, Brian Taba, David Berg, Timothy Melano, Jeffrey McKinstry, Carmelo Di Nolfo, Tapan Nayak, Alexander Andreopoulos, Guillaume Garreau, Marcela Mendoza, Jeff Kusnitz, Michael Debole, Steve Esser, Tobi Delbruck, Myron Flickner, Dharmendra Modha
IEEE Computer Vision and Pattern Recognition, 2017
Arnon Amir, Brian Taba, David Berg, Timothy Melano, Jeffrey McKinstry, Carmelo Di Nolfo, Tapan Nayak, Alexander Andreopoulos, Guillaume Garreau, Marcela Mendoza, Jeff Kusnitz, Michael Debole, Steve Esser, Tobi Delbruck, Myron Flickner, Dharmendra Modha
IEEE Computer Vision and Pattern Recognition, 2017
Nonvolatile Memory Crossbar Arrays for Non-von Neumann Computing
Sidler, Severin and Jang, Jun-Woo and Burr, Geoffrey W and Shelby, Robert M and Boybat, Irem and Di Nolfo, Carmelo and Narayanan, Pritish and Virwani, Kumar and Hwang, Hyunsang
Advances in Neuromorphic Hardware Exploiting Emerging Nanoscale Devices, pp. 129--149, Springer, 2017
Abstract
Sidler, Severin and Jang, Jun-Woo and Burr, Geoffrey W and Shelby, Robert M and Boybat, Irem and Di Nolfo, Carmelo and Narayanan, Pritish and Virwani, Kumar and Hwang, Hyunsang
Advances in Neuromorphic Hardware Exploiting Emerging Nanoscale Devices, pp. 129--149, Springer, 2017
Abstract
Scalable Agentless Cloud Network Monitoring
Morgan Brattstrom ; Patricia Morreale
2017 IEEE 4th International Conference on Cyber Security and Cloud Computing (CSCloud), IEEE
Morgan Brattstrom ; Patricia Morreale
2017 IEEE 4th International Conference on Cyber Security and Cloud Computing (CSCloud), IEEE
NAMD: Scalable Molecular Dynamics Based on the Charm++ Parallel Runtime System
Bilge Acun, Ronak Buch, Laxmikant Kale, James C Phillips
Exascale Scientific Applications: Scalability and Performance Portability, pp. 119, CRC Press, 2017
Bilge Acun, Ronak Buch, Laxmikant Kale, James C Phillips
Exascale Scientific Applications: Scalability and Performance Portability, pp. 119, CRC Press, 2017
Support for Power Efficient Proactive Cooling Mechanisms
Bilge Acun, Eun Kyung Lee, Yoonho Park, Laxmikant V Kale
High Performance Computing (HiPC), 2017 IEEE 24th International Conference on, pp. 94--103
Bilge Acun, Eun Kyung Lee, Yoonho Park, Laxmikant V Kale
High Performance Computing (HiPC), 2017 IEEE 24th International Conference on, pp. 94--103
Sharing ownership of an input/output device using a device driver partition
Alvarez, Juan J and Arroyo, Jesse P and Crumley, Paul G and Graham, Charles S and Jann, Joefon and Schimke, Timothy J and Wu, Ching-Farn E
US Patent App. 15/001,874
Abstract
Alvarez, Juan J and Arroyo, Jesse P and Crumley, Paul G and Graham, Charles S and Jann, Joefon and Schimke, Timothy J and Wu, Ching-Farn E
US Patent App. 15/001,874
Abstract
2016
Approximate computing: Challenges and opportunities
Agrawal, Ankur and Choi, Jungwook and Gopalakrishnan, Kailash and Gupta, Suyog and Nair, Ravi and Oh, Jinwook and Prener, Daniel A and Shukla, Sunil and Srinivasan, Vijayalakshmi and Sura, Zehra
Rebooting Computing (ICRC), IEEE International Conference on, pp. 1--8, 2016
Agrawal, Ankur and Choi, Jungwook and Gopalakrishnan, Kailash and Gupta, Suyog and Nair, Ravi and Oh, Jinwook and Prener, Daniel A and Shukla, Sunil and Srinivasan, Vijayalakshmi and Sura, Zehra
Rebooting Computing (ICRC), IEEE International Conference on, pp. 1--8, 2016
Automatic Copying of Pointer-Based Data Structures
Chen, Tong and Sura, Zehra and Sung, Hyojin
International Workshop on Languages and Compilers for Parallel Computing, pp. 265--281, 2016
Abstract
Chen, Tong and Sura, Zehra and Sung, Hyojin
International Workshop on Languages and Compilers for Parallel Computing, pp. 265--281, 2016
Abstract
A 1.8-pJ/b 16x16-Gb/s Source Synchronous Parallel Interface in 32nm SOI CMOS with Receiver Redundancy for Link Recalibration
T. O. Dickson, Y. Liu, A. Agrawal, J. F. Bulzacchelli, H. Ainspan, Z. Toprak-Deniz, B. D. Parker, M.P. Beakes, M. Meghelli, and D.J. Friedman
IEEE Journal of Solid-State Circuits 51(8), 1744-1755, 2016
T. O. Dickson, Y. Liu, A. Agrawal, J. F. Bulzacchelli, H. Ainspan, Z. Toprak-Deniz, B. D. Parker, M.P. Beakes, M. Meghelli, and D.J. Friedman
IEEE Journal of Solid-State Circuits 51(8), 1744-1755, 2016
Efficient tomographic reconstruction for commodity processors with limited memory bandwidth
Inoue, Hiroshi
Biomedical Imaging (ISBI), 2016 IEEE 13th International Symposium on, pp. 747--750
Abstract
Inoue, Hiroshi
Biomedical Imaging (ISBI), 2016 IEEE 13th International Symposium on, pp. 747--750
Abstract
Fragmented BWT: An Extended BWT for Full-Text Indexing
Ito, Masaru and Inoue, Hiroshi and Taura, Kenjiro
International Symposium on String Processing and Information Retrieval, pp. 97--109, 2016
Abstract
Ito, Masaru and Inoue, Hiroshi and Taura, Kenjiro
International Symposium on String Processing and Information Retrieval, pp. 97--109, 2016
Abstract
An Effective Page Padding Method for RAM Buffer Algorithms to Enhance the SSD Endurance
E. Ogawa, K. Kise
2016 Fourth International Symposium on Computing and Networking (CANDAR), pp. 133-139
E. Ogawa, K. Kise
2016 Fourth International Symposium on Computing and Networking (CANDAR), pp. 133-139
Automatic Copying of Pointer-Based Data Structures
Tong Chen, Zehra Sura, Hyojin Sung
Languages and Compilers for Parallel Computing - 29th International Workshop, LCPC 2016, Rochester, NY, USA, September 28-30, 2016, Revised Papers, pp. 265--281
Tong Chen, Zehra Sura, Hyojin Sung
Languages and Compilers for Parallel Computing - 29th International Workshop, LCPC 2016, Rochester, NY, USA, September 28-30, 2016, Revised Papers, pp. 265--281
DeltaSherlock: Identifying changes in the cloud
Turk, Ata and Chen, Hao and Byrne, Anthony and Knollmeyer, John and Duri, Sastry S and Isci, Canturk and Coskun, Ayse K
Big Data (Big Data), 2016 IEEE International Conference on, pp. 763--772
Abstract
Turk, Ata and Chen, Hao and Byrne, Anthony and Knollmeyer, John and Duri, Sastry S and Isci, Canturk and Coskun, Ayse K
Big Data (Big Data), 2016 IEEE International Conference on, pp. 763--772
Abstract
TrueNorth Ecosystem for Brain-Inspired Computing: Scalable Systems, Software, and Applications
J Sawada, F Akopyan, A Cassidy, B Taba, M Debole, P Datta, R Alvarez-Icaza, A Amir, J Arthur, A Andreopoulos, et al.
Proceedings of Supercomputing 2016
Abstract
J Sawada, F Akopyan, A Cassidy, B Taba, M Debole, P Datta, R Alvarez-Icaza, A Amir, J Arthur, A Andreopoulos, et al.
Proceedings of Supercomputing 2016
Abstract
Convolutional networks for fast, energy-efficient neuromorphic computing
Steven K. Esser, Paul A. Merolla, John V. Arthur, Andrew S. Cassidy, Rathinakumar Appuswamy, Alexander Andreopoulos, David J. Berg, Jeffrey L. McKinstry, Timothy Melano, Davis R. Barch, Carmelo di Nolfo, Pallab Datta, Arnon Amir, Brian Taba, Myron D. Flic
Proceedings of the National Academy of Sciences 113(41), 11441--11446, National Academy of Sciences, 2016
Abstract
Steven K. Esser, Paul A. Merolla, John V. Arthur, Andrew S. Cassidy, Rathinakumar Appuswamy, Alexander Andreopoulos, David J. Berg, Jeffrey L. McKinstry, Timothy Melano, Davis R. Barch, Carmelo di Nolfo, Pallab Datta, Arnon Amir, Brian Taba, Myron D. Flic
Proceedings of the National Academy of Sciences 113(41), 11441--11446, National Academy of Sciences, 2016
Abstract
Power, Reliability, and Performance: One System to Rule Them All
Bilge Acun, Akhil Langer, Esteban Meneses, Harshitha Menon, Osman Sarood, Ehsan Totoni, Laxmikant V Kale
Computer 49(10), 30--37, IEEE, 2016
Bilge Acun, Akhil Langer, Esteban Meneses, Harshitha Menon, Osman Sarood, Ehsan Totoni, Laxmikant V Kale
Computer 49(10), 30--37, IEEE, 2016
Neural Network-Based Task Scheduling with Preemptive Fan Control
Bilge Acun, Eun Kyung Lee, Yoonho Park, Laxmikant V Kale
Proceedings of the 4th International Workshop on Energy Efficient Supercomputing, pp. 77--84, 2016
Bilge Acun, Eun Kyung Lee, Yoonho Park, Laxmikant V Kale
Proceedings of the 4th International Workshop on Energy Efficient Supercomputing, pp. 77--84, 2016
2015
Review of Advances in Neural Networks: Neural Design Technology Stack
Stanislaw Woźniak, Adela-Diana Almási, Valentin Cristea, Yusuf Leblebici, Ton Engbersen
Proceedings of ELM-2014 Volume 1, pp. 367--376, Springer, 2015
Stanislaw Woźniak, Adela-Diana Almási, Valentin Cristea, Yusuf Leblebici, Ton Engbersen
Proceedings of ELM-2014 Volume 1, pp. 367--376, Springer, 2015
A Case for Approximate Computing in Real-Time Mobile Cognition
K. Swaminathan, C. Lin, A. Vega, A. Buyuktosunoglu, P. Bose, S. Pankanti.
Workshop on Approximate Computing (WACAS), in conjunction with ASPLOS 2015
K. Swaminathan, C. Lin, A. Vega, A. Buyuktosunoglu, P. Bose, S. Pankanti.
Workshop on Approximate Computing (WACAS), in conjunction with ASPLOS 2015
Robust power management in the IBM z13
T. Webel, P.M. Lobo, R. Bertran, G.M. Salem, M. Allen-Ware, R. Rizzolo, S.M. Carey, T. Strach, A. Buyuktosunoglu, C. Lefurgy, P. Bose, R. Nigaglioni, T. Slegel, M.S. Floyd, B.W. Curran
IBM Journal of Research and Development 59(4), 16--16, 2015
T. Webel, P.M. Lobo, R. Bertran, G.M. Salem, M. Allen-Ware, R. Rizzolo, S.M. Carey, T. Strach, A. Buyuktosunoglu, C. Lefurgy, P. Bose, R. Nigaglioni, T. Slegel, M.S. Floyd, B.W. Curran
IBM Journal of Research and Development 59(4), 16--16, 2015
Safe Limits on Voltage Reduction Efficiency in GPUs: a Direct Measurement Approach
Jingwen Leng, Alper Buyuktosunoglu, Ramon Bertran, Pradip Bose, Vijay Janapa Reddi
MICRO '15: Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture (Acceptance Ratio: ??. Conf. Rank: A), pp. 0--0, IEEE Computer Society, 2015
Jingwen Leng, Alper Buyuktosunoglu, Ramon Bertran, Pradip Bose, Vijay Janapa Reddi
MICRO '15: Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture (Acceptance Ratio: ??. Conf. Rank: A), pp. 0--0, IEEE Computer Society, 2015
A 1.4-pJ/b, Power-Scalable 16x12-Gb/s Source-Synchronous I/O with DFE Receiver in 32nm SOI CMOS Technology
T. O. Dickson, Y. Liu, S. V. Rylov, A. Agrawal, S. Kim, P.-H. Hsieh, J. F. Bulzacchelli, M. Ferriss, H. A. Ainspan, A. Rylyakov, B. D. Parker, C. Baks, L. Shan, Y. Kwark, J. Tierno, and D.J. Friedman
IEEE Journal of Solid-State Circuits 50(8), 1917-1931, 2015
T. O. Dickson, Y. Liu, S. V. Rylov, A. Agrawal, S. Kim, P.-H. Hsieh, J. F. Bulzacchelli, M. Ferriss, H. A. Ainspan, A. Rylyakov, B. D. Parker, C. Baks, L. Shan, Y. Kwark, J. Tierno, and D.J. Friedman
IEEE Journal of Solid-State Circuits 50(8), 1917-1931, 2015
LabBook: Metadata-driven social collaborative data analysis
Kandogan, Eser and Roth, Mary and Schwarz, Peter and Hui, Joshua and Terrizzano, Ignacio and Christodoulakis, Christina and Miller, Ren{\'e}e J
Big Data (Big Data), 2015 IEEE International Conference on, pp. 431--440
Abstract
Kandogan, Eser and Roth, Mary and Schwarz, Peter and Hui, Joshua and Terrizzano, Ignacio and Christodoulakis, Christina and Miller, Ren{\'e}e J
Big Data (Big Data), 2015 IEEE International Conference on, pp. 431--440
Abstract
Mitigating memory-induced dark silicon in many-accelerator architectures
Diamantopoulos, Dionysios and Xydis, Sotirios and Siozios, Kostas and Soudris, Dimitrios
IEEE Computer Architecture Letters 14(2), 136--139, IEEE, 2015
Abstract
Diamantopoulos, Dionysios and Xydis, Sotirios and Siozios, Kostas and Soudris, Dimitrios
IEEE Computer Architecture Letters 14(2), 136--139, IEEE, 2015
Abstract
High-Level-Synthesis Extensions for Scalable Single-Chip Many-Accelerators on FPGAs
Diamantopoulos, Dionysios and Xydis, Sotirios and Siozios, Kostas and Soudris, Dimitrios
Field Programmable Logic and Applications (FPL), 2015 25th International Conference on, pp. 1--2
Abstract
Diamantopoulos, Dionysios and Xydis, Sotirios and Siozios, Kostas and Soudris, Dimitrios
Field Programmable Logic and Applications (FPL), 2015 25th International Conference on, pp. 1--2
Abstract
GENESIS: Parallel application placement onto reconfigurable architectures (invited for the special issue on runtime management)
Diamantopoulos, Dionysios and Siozios, Kostas and Xydis, Sotirios and Soudris, Dimitrios
ACM Transactions on Embedded Computing Systems (TECS) 14(1), 18, ACM, 2015
Abstract
Diamantopoulos, Dionysios and Siozios, Kostas and Xydis, Sotirios and Soudris, Dimitrios
ACM Transactions on Embedded Computing Systems (TECS) 14(1), 18, ACM, 2015
Abstract
Evaluation of High-Level Synthesis Techniques for Memory and Datapath Tradeoffs in FPGA Based SoC Architectures
Sotiriou-Xanthopoulos, Efstathios and Diamantopoulos, Dionysios and Economakos, George
International Symposium on Applied Reconfigurable Computing, pp. 321--330, 2015
Abstract
Sotiriou-Xanthopoulos, Efstathios and Diamantopoulos, Dionysios and Economakos, George
International Symposium on Applied Reconfigurable Computing, pp. 321--330, 2015
Abstract
TEAChER: TEach AdvanCEd Reconfigurable Architectures and Tools
Siozios, Kostas and Figuli, Peter and Sidiropoulos, Harry and Tradowsky, Carsten and Diamantopoulos, Dionysios and Maragos, Konstantinos and Delicia, Shalina Percy and Soudris, Dimitrios and Becker, J{\"u}rgen
International Symposium on Applied Reconfigurable Computing, pp. 103--114, 2015
Abstract
Siozios, Kostas and Figuli, Peter and Sidiropoulos, Harry and Tradowsky, Carsten and Diamantopoulos, Dionysios and Maragos, Konstantinos and Delicia, Shalina Percy and Soudris, Dimitrios and Becker, J{\"u}rgen
International Symposium on Applied Reconfigurable Computing, pp. 103--114, 2015
Abstract
Experimental demonstration and tolerancing of a large-scale neural network (165 000 synapses) using phase-change memory as the synaptic weight element
Burr, Geoffrey W and Shelby, Robert M and Sidler, Severin and Di Nolfo, Carmelo and Jang, Junwoo and Boybat, Irem and Shenoy, Rohit S and Narayanan, Pritish and Virwani, Kumar and Giacometti, Emanuele U and others
IEEE Transactions on Electron Devices 62(11), 3498--3507, IEEE, 2015
Abstract
Burr, Geoffrey W and Shelby, Robert M and Sidler, Severin and Di Nolfo, Carmelo and Jang, Junwoo and Boybat, Irem and Shenoy, Rohit S and Narayanan, Pritish and Virwani, Kumar and Giacometti, Emanuele U and others
IEEE Transactions on Electron Devices 62(11), 3498--3507, IEEE, 2015
Abstract
Non-volatile memory as hardware synapse in neuromorphic computing: A first look at reliability issues
Shelby, Robert M and Burr, Geoffrey W and Boybat, Irem and Di Nolfo, Carmelo
Reliability Physics Symposium (IRPS), 2015 IEEE International, pp. 6A--1
Abstract
Shelby, Robert M and Burr, Geoffrey W and Boybat, Irem and Di Nolfo, Carmelo
Reliability Physics Symposium (IRPS), 2015 IEEE International, pp. 6A--1
Abstract
Non-volatile memory crossbar arrays for non-Von Neumann computing
Burr, Geoffrey W and Shelby, Robert M and Boybat, Irem and Sidler, Severin and di Nolfo, Carmelo
Electronic Materials Conference (EMC), 2015
Abstract
Burr, Geoffrey W and Shelby, Robert M and Boybat, Irem and Sidler, Severin and di Nolfo, Carmelo
Electronic Materials Conference (EMC), 2015
Abstract
Crossbar arrays for Storage Class Memory and non-Von Neumann computing
Burr, Geoffrey W and Shelby, Robert M and Sidler, Severin and Narayanan, Pritish and Boybat, Irem and di Nolfo, Carmelo
European Phase-Change and Ovonic Symposium (E$ackslash$PCOS), 2015
Abstract
Burr, Geoffrey W and Shelby, Robert M and Sidler, Severin and Narayanan, Pritish and Boybat, Irem and di Nolfo, Carmelo
European Phase-Change and Ovonic Symposium (E$ackslash$PCOS), 2015
Abstract
CORONET: Testbeds, demonstration, and lessons learned
Von Lehmen, Ann and Doverspike, Robert and Clapp, George and Freimuth, Douglas M and Gannett, Joel and Kolarov, Aleksandar and Kobrinski, Haim and Makaya, Christian and Mavrogiorgis, Emmanuil and Pastor, Jorge and others
IEEE/OSA Journal of Optical Communications and Networking 7(3), A447--A458, IEEE, 2015
Abstract
Von Lehmen, Ann and Doverspike, Robert and Clapp, George and Freimuth, Douglas M and Gannett, Joel and Kolarov, Aleksandar and Kobrinski, Haim and Makaya, Christian and Mavrogiorgis, Emmanuil and Pastor, Jorge and others
IEEE/OSA Journal of Optical Communications and Networking 7(3), A447--A458, IEEE, 2015
Abstract
Preliminary Evaluation of a Parallel Trace Replay Tool for HPC Network Simulations
Bilge Acun, Nikhil Jain, Abhinav Bhatele, Misbah Mubarak, Christopher D Carothers, Laxmikant V Kale
European Conference on Parallel Processing, pp. 417--429, 2015
Bilge Acun, Nikhil Jain, Abhinav Bhatele, Misbah Mubarak, Christopher D Carothers, Laxmikant V Kale
European Conference on Parallel Processing, pp. 417--429, 2015