Karthik V Swaminathan
contact information
Research Staff Member, Efficient and Resilient SystemsThomas J. Watson Research Center, Yorktown Heights, NY USA +1
914
945
2830



links
2023
BERRY: Bit Error Robustness for Energy-Efficient Reinforcement Learning-Based Autonomous Systems
Zishen Wan, Nandhini Chandramoorthy, Karthik Swaminathan, Pin-Yu Chen, Vijay Janapa Reddi, Arijit Raychowdhury
Design Automation Conference (DAC), 2023
Zishen Wan, Nandhini Chandramoorthy, Karthik Swaminathan, Pin-Yu Chen, Vijay Janapa Reddi, Arijit Raychowdhury
Design Automation Conference (DAC), 2023
2022
Characterizing Memory Side Channels in FHE Applications
Asmita Pal, Karthik Swaminathan, Subhankar Pal, Joshua San Miguel
First Workshop on Data Integrity and Secure Cloud Computing (DISCC) at MICRO 2022
Asmita Pal, Karthik Swaminathan, Subhankar Pal, Joshua San Miguel
First Workshop on Data Integrity and Secure Cloud Computing (DISCC) at MICRO 2022
Pre and Post Silicon Analysis in the Design and Validation of AI Enabled High Performance Microprocessors
Nagu Dhanwada, Karthik Swaminathan, Kartik Acharya, Khajista Fattu, Ramon Bertran, Anurag Umbarkar
Design Automation Conference (Design Contest Poster), 2022
Nagu Dhanwada, Karthik Swaminathan, Kartik Acharya, Khajista Fattu, Ramon Bertran, Anurag Umbarkar
Design Automation Conference (Design Contest Poster), 2022
MBET: Resilience Improvement Method for DNNs
Buldu, Abdullah Murat and Sen, Alper and Swaminathan, Karthik and Kahne, Brian
IEEE International Conference On Artificial Intelligence Testing (AITest), 2022
Buldu, Abdullah Murat and Sen, Alper and Swaminathan, Karthik and Kahne, Brian
IEEE International Conference On Artificial Intelligence Testing (AITest), 2022
Analyzing and Improving Resilience and Robustness of Autonomous Systems
Wan, Zishen and Swaminathan, Karthik and Chen, Pin-Yu and Chandramoorthy, Nandhini and Raychowdhury, Arijit
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022
Wan, Zishen and Swaminathan, Karthik and Chen, Pin-Yu and Chandramoorthy, Nandhini and Raychowdhury, Arijit
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022
A 12nm Agile-Designed SoC for Swarm-Based Perception with Heterogeneous IP Blocks, a Reconfigurable Memory Hierarchy, and an 800MHz Multi-Plane NoC
Jia, Tianyu and Mantovani, Paolo and Dos Santos, Maico Cassel and Giri, Davide and Zuckerman, Joseph and Loscalzo, Erik Jens and Cochet, Martin and Swaminathan, Karthik and Tombesi, Gabriele and Zhang, Jeff Jun and Chandramoorthy, Nandhini and Wellman, John-David and Tien, Kevin and Carloni, Luca and Shepard, Kenneth and Brooks, David and Wei, Gu-Yeon and Bose, Pradip
IEEE 48th European Solid State Circuits Conference (ESSCIRC), 2022
Jia, Tianyu and Mantovani, Paolo and Dos Santos, Maico Cassel and Giri, Davide and Zuckerman, Joseph and Loscalzo, Erik Jens and Cochet, Martin and Swaminathan, Karthik and Tombesi, Gabriele and Zhang, Jeff Jun and Chandramoorthy, Nandhini and Wellman, John-David and Tien, Kevin and Carloni, Luca and Shepard, Kenneth and Brooks, David and Wei, Gu-Yeon and Bose, Pradip
IEEE 48th European Solid State Circuits Conference (ESSCIRC), 2022
A Scalable Methodology for Agile Chip Development with Open-Source Hardware Components
Santos, Maico Cassel dos and Jia, Tianyu and Cochet, Martin and Swaminathan, Karthik and Zuckerman, Joseph and Mantovani, Paolo and Giri, Davide and Zhang, Jeff Jun and Loscalzo, Erik Jens and Tombesi, Gabriele and Tien, Kevin and Chandramoorthy, Nandhini and Wellman, John-David and Brooks, David and Wei, Gu-Yeon and Shepard, Kenneth and Carloni, Luca P. and Bose, Pradip
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022
Santos, Maico Cassel dos and Jia, Tianyu and Cochet, Martin and Swaminathan, Karthik and Zuckerman, Joseph and Mantovani, Paolo and Giri, Davide and Zhang, Jeff Jun and Loscalzo, Erik Jens and Tombesi, Gabriele and Tien, Kevin and Chandramoorthy, Nandhini and Wellman, John-David and Brooks, David and Wei, Gu-Yeon and Shepard, Kenneth and Carloni, Luca P. and Bose, Pradip
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022
2021
Intel Wins in Four Decades, but AMD Catches Up
B. Hanindhito, K. Swaminathan, V. Narayanan, L. John
IEEE Micro 41(06), 168-171, IEEE Computer Society, 2021
B. Hanindhito, K. Swaminathan, V. Narayanan, L. John
IEEE Micro 41(06), 168-171, IEEE Computer Society, 2021
Hardware Specialization: From Cell to Heterogeneous Microprocessors Everywhere
Karthik Swaminathan, Augusto Vega
IEEE Micro 41(6), 112-120, 2021
Karthik Swaminathan, Augusto Vega
IEEE Micro 41(6), 112-120, 2021
Energy Efficiency Boost in the AI-Infused POWER10 Processor
Brian W. Thompto, Dung Q. Nguyen, Jose E. Moreira, Ramon Bertran, Hans M. Jacobson, Richard J. Eickemeyer, Rahul M. Rao, Michael Goulet, Marcy Byers, Christopher J. Gonzalez, Karthik Swaminathan, Nagu R. Dhanwada, Silvia M. M
48th ACM/IEEE Annual International Symposium on Computer Architecture, ISCA 2021, Valencia, Spain, June 14-18, 2021, pp. 29--42, IEEE
Brian W. Thompto, Dung Q. Nguyen, Jose E. Moreira, Ramon Bertran, Hans M. Jacobson, Richard J. Eickemeyer, Rahul M. Rao, Michael Goulet, Marcy Byers, Christopher J. Gonzalez, Karthik Swaminathan, Nagu R. Dhanwada, Silvia M. M
48th ACM/IEEE Annual International Symposium on Computer Architecture, ISCA 2021, Valencia, Spain, June 14-18, 2021, pp. 29--42, IEEE
SERMiner : A Framework for Early-stage Reliability Estimation for IBM Processors
Karthik Swaminathan, Ramon Bertran, Hans Jacobson, Pradip Bose, Matthias Pflanz, Doug Balazich
DSN 2021
Karthik Swaminathan, Ramon Bertran, Hans Jacobson, Pradip Bose, Matthias Pflanz, Doug Balazich
DSN 2021
2020
GaaS-X: Graph Analytics Accelerator Supporting Sparse Data Representation using Crossbar Architectures
N. Challapalle, S. Rampalli, L. Song, N. Chandramoorthy, K. Swaminathan, J. Sampson, Y. Chen, V. Narayanan
International Symposium for Computer Architecture (ISCA) , 2020
N. Challapalle, S. Rampalli, L. Song, N. Chandramoorthy, K. Swaminathan, J. Sampson, Y. Chen, V. Narayanan
International Symposium for Computer Architecture (ISCA) , 2020
ERASER: Early-stage Reliability And Security Estimation for RISC-V
Karthik Swaminathan, Ramon Bertran, Schuyler Eldridge, Hans Jacobson, Alper Buyuktosunoglu, Pradip Bose
Free and Open Source Developers European Meeting (FOSDEM), 2020
Karthik Swaminathan, Ramon Bertran, Schuyler Eldridge, Hans Jacobson, Alper Buyuktosunoglu, Pradip Bose
Free and Open Source Developers European Meeting (FOSDEM), 2020
2019
Generation of stressmarks for early stage soft-error modeling
Karthik Swaminathan, Ramon Bertran, Hans Jacobson, Prabhakar Kudva, Pradip Bose
Dependable and Secure Networks (DSN), 2019
Karthik Swaminathan, Ramon Bertran, Hans Jacobson, Prabhakar Kudva, Pradip Bose
Dependable and Secure Networks (DSN), 2019
Generation of stressmarks for early stage soft-error modeling
Karthik Swaminathan, Ramon Bertran, Hans Jacobson, Prabhakar Kudva, Pradip Bose
Silicon errors in logic - System effects (SELSE), 2019
Karthik Swaminathan, Ramon Bertran, Hans Jacobson, Prabhakar Kudva, Pradip Bose
Silicon errors in logic - System effects (SELSE), 2019
Resilient Low Voltage Acceleration for High Energy Efficiency
N. Chandramoorthy, K. Swaminathan, M. Cochet, S. Eldridge, A. Paidimarri, R. Joshi, M. Ziegler, A. Buyuktosunoglu, P. Bose
International Symposium on High-Performance Computer Architecture (HPCA), 2019
N. Chandramoorthy, K. Swaminathan, M. Cochet, S. Eldridge, A. Paidimarri, R. Joshi, M. Ziegler, A. Buyuktosunoglu, P. Bose
International Symposium on High-Performance Computer Architecture (HPCA), 2019
2018
Impact of Software Approximations on the Resiliency of a Video Summarization System
Radha Venkatagiri, Karthik Swaminathan, Chung-Ching Lin, Liang Wang, Alper Buyuktosunoglu, Pradip Bose
48th IEEE/IFIP International Conference on Dependable Systems and Networks (DSN 2018), IEEE
Radha Venkatagiri, Karthik Swaminathan, Chung-Ching Lin, Liang Wang, Alper Buyuktosunoglu, Pradip Bose
48th IEEE/IFIP International Conference on Dependable Systems and Networks (DSN 2018), IEEE
Cascaded and Resonant SRAM Supply Boosting for Ultra-Low Voltage Cognitive IoT Applications
Rajiv V. Joshi, Matthew M. Ziegler, Karthik Swaminathan, Nandhini Chandramoorthy
IEEE Custom Integrated Circuits Conference (CICC), 2018
Rajiv V. Joshi, Matthew M. Ziegler, Karthik Swaminathan, Nandhini Chandramoorthy
IEEE Custom Integrated Circuits Conference (CICC), 2018
VELOUR: Very Low Voltage Operation Under Resilience Constraints
Schuyler Eldridge, Alec Roelke, Xinfei Guo, Vaibhav Verma, Karthik Swaminathan, Nandhini Chandramoorthy, Martin Cochet, Alper Buyuktosunoglu, Christos Vezyrtzis, Rajiv Joshi, Matt Ziegler, Mircea Stan, Pradip Bose
GOMACTech, 2018
Schuyler Eldridge, Alec Roelke, Xinfei Guo, Vaibhav Verma, Karthik Swaminathan, Nandhini Chandramoorthy, Martin Cochet, Alper Buyuktosunoglu, Christos Vezyrtzis, Rajiv Joshi, Matt Ziegler, Mircea Stan, Pradip Bose
GOMACTech, 2018
2017
Very Low Voltage (VLV) Design
Ramon Bertran, Karthik Swaminathan, et al.
IEEE International Conference on Computer Design (ICCD), 2017
Ramon Bertran, Karthik Swaminathan, et al.
IEEE International Conference on Computer Design (ICCD), 2017
A Low Voltage RISC-V Heterogeneous System
Schuyler Eldridge, Karthik Swaminathan, Nandhini Chandramoorthy, Alper Buyuktosunoglu, Alec Roelke, Xinfei Guo, Vaibhav Verma, Rajiv Joshi, Mircea Stan, Pradip Bose
First Workshop on Computer Architecture Research with RISC-V (CARRV 2017)
Schuyler Eldridge, Karthik Swaminathan, Nandhini Chandramoorthy, Alper Buyuktosunoglu, Alec Roelke, Xinfei Guo, Vaibhav Verma, Rajiv Joshi, Mircea Stan, Pradip Bose
First Workshop on Computer Architecture Research with RISC-V (CARRV 2017)
Dynamic Power and Energy Management for Energy Harvesting in Nonvolatile Processor Systems
Kaisheng Ma, Xueqing Li, Huichu Liu, Xiao Seng, Yiqun Wang, Karthik Swaminathan, Yongpan Liu, Yuan Xie, Jack Sampson, Vijaykrishnan Narayanan
ACM Transactions on Embedded Computing Systems (TECS), 2017
Kaisheng Ma, Xueqing Li, Huichu Liu, Xiao Seng, Yiqun Wang, Karthik Swaminathan, Yongpan Liu, Yuan Xie, Jack Sampson, Vijaykrishnan Narayanan
ACM Transactions on Embedded Computing Systems (TECS), 2017
SHIVA: An Integrated Toolset for Cross-Layer Modeling in Support of Resilient, Low-Power Embedded Processor Design
Karthik Swaminathan, Ramon Bertran, Schuyler Eldridge, Chen - Yong Cher, Hans Jacobson, Augusto Vega, Alper Buyuktosunoglu, John - David Wellman, Robert Montoye, Pradip Bose
GOMACTech-17, 2017
Karthik Swaminathan, Ramon Bertran, Schuyler Eldridge, Chen - Yong Cher, Hans Jacobson, Augusto Vega, Alper Buyuktosunoglu, John - David Wellman, Robert Montoye, Pradip Bose
GOMACTech-17, 2017
BRAVO: Balanced Reliability-Aware Voltage Optimization
K. Swaminathan, N. Chandramoorthy, C. Cher, R. Bertran, A. Buyuktosunoglu, P. Bose
International Symposium on High-Performance Computer Architecture (HPCA), 2017
K. Swaminathan, N. Chandramoorthy, C. Cher, R. Bertran, A. Buyuktosunoglu, P. Bose
International Symposium on High-Performance Computer Architecture (HPCA), 2017
2016
Resilience characterization of a vision analytics application under varying degrees of approximation
Radha Venkatagiri, Karthik Swaminathan, Chung-ching Lin, Liang Wang, Alper Buyuktosunoglu, Pradip Bose, Sarita Adve
2016 IEEE International Symposium on Workload Characterization (IISWC), 1-2, IEEE Computer Society
Radha Venkatagiri, Karthik Swaminathan, Chung-ching Lin, Liang Wang, Alper Buyuktosunoglu, Pradip Bose, Sarita Adve
2016 IEEE International Symposium on Workload Characterization (IISWC), 1-2, IEEE Computer Society
Nonvolatile Processor Architectures: Efficient, Reliable Progress with Unstable Power
K. Ma, X. Li, K. Swaminathan, Y. Zheng, S. Li, Y. Liu, Y. Xie, J. J. Sampson, V. Narayanan
IEEE Micro 36(3), 72-83, 2016
K. Ma, X. Li, K. Swaminathan, Y. Zheng, S. Li, Y. Liu, Y. Xie, J. J. Sampson, V. Narayanan
IEEE Micro 36(3), 72-83, 2016
2015
Resilient Mobile Cognition: Algorithms, Innovations, and Architectures
R. Viguier, C. Lin, K. Swaminathan, A. Vega, A. Buyuktosunoglu, S. Pankanti, P. Bose, H. Akbarpour, F. Bunyak, K. Palaniappan, G. Seetharaman.
International Conference on Computer Design (ICCD), Oct 2015.
R. Viguier, C. Lin, K. Swaminathan, A. Vega, A. Buyuktosunoglu, S. Pankanti, P. Bose, H. Akbarpour, F. Bunyak, K. Palaniappan, G. Seetharaman.
International Conference on Computer Design (ICCD), Oct 2015.
Resilient, UAV-Embedded Real-Time Computing
A. Vega, C. Lin, K. Swaminathan, A. Buyuktosunoglu, S. Pankanti, P. Bose
International Conference on Computer Design (ICCD), Oct 2015
A. Vega, C. Lin, K. Swaminathan, A. Buyuktosunoglu, S. Pankanti, P. Bose
International Conference on Computer Design (ICCD), Oct 2015
A Case for Approximate Computing in Real-Time Mobile Cognition
K. Swaminathan, C. Lin, A. Vega, A. Buyuktosunoglu, P. Bose, S. Pankanti.
Workshop on Approximate Computing (WACAS), in conjunction with ASPLOS 2015
K. Swaminathan, C. Lin, A. Vega, A. Buyuktosunoglu, P. Bose, S. Pankanti.
Workshop on Approximate Computing (WACAS), in conjunction with ASPLOS 2015
Thermal-Aware Application Scheduling on Device-Heterogeneous Embedded Architectures
Karthik Swaminathan, Jagadish Kotra, Huichu Liu, Jack Sampson, Mahmut Kandemir, Vijaykrishnan Narayanan
VLSI Design (VLSID), 2015 28th International Conference on, pp. 221--226
Karthik Swaminathan, Jagadish Kotra, Huichu Liu, Jack Sampson, Mahmut Kandemir, Vijaykrishnan Narayanan
VLSI Design (VLSID), 2015 28th International Conference on, pp. 221--226
Architecture exploration for ambient energy harvesting nonvolatile processors
Kaisheng Ma, Yang Zheng, Shuangchen Li, Karthik Swaminathan, Xueqing Li, Yongpan Liu, Jack Sampson, Yuan Xie, Vijaykrishnan Narayanan
High Performance Computer Architecture (HPCA), 2015 IEEE 21st International Symposium on, pp. 526--537
(Winner of Best Paper award at HPCA 2015)
Kaisheng Ma, Yang Zheng, Shuangchen Li, Karthik Swaminathan, Xueqing Li, Yongpan Liu, Jack Sampson, Yuan Xie, Vijaykrishnan Narayanan
High Performance Computer Architecture (HPCA), 2015 IEEE 21st International Symposium on, pp. 526--537
(Winner of Best Paper award at HPCA 2015)
2014
Refresh Enabled Video Analytics (REVA): Implications on power and performance of DRAM supported embedded visual systems
Siddharth Advani, Nandhini Chandramoorthy, Karthik Swaminathan, Kevin Irick, Yong Cheol Peter Cho, Jack Sampson, Vijaykrishnan Narayanan
Computer Design (ICCD), 2014 32nd IEEE International Conference on, pp. 501--504
Siddharth Advani, Nandhini Chandramoorthy, Karthik Swaminathan, Kevin Irick, Yong Cheol Peter Cho, Jack Sampson, Vijaykrishnan Narayanan
Computer Design (ICCD), 2014 32nd IEEE International Conference on, pp. 501--504
Enabling Power-Efficient Designs with III-V Tunnel FETs
Moon Seok Kim, Huichu Liu, Karthik Swaminathan, Xueqing Li, Suman Datta, Vijaykrishnan Narayanan
Compound Semiconductor Integrated Circuit Symposium (CSICs), 2014 IEEE, pp. 1--4
Moon Seok Kim, Huichu Liu, Karthik Swaminathan, Xueqing Li, Suman Datta, Vijaykrishnan Narayanan
Compound Semiconductor Integrated Circuit Symposium (CSICs), 2014 IEEE, pp. 1--4
An examination of post-CMOS computing techniques using steep slope device-based architectures
Karthik Swaminathan
Ph.D. Thesis, 2014
Karthik Swaminathan
Ph.D. Thesis, 2014
Modeling steep slope devices: From circuits to architectures
Karthik Swaminathan, Moon Seok Kim, Nandhini Chandramoorthy, Behnam Sedighi, Robert Perricone, Jack Sampson, Vijaykrishnan Narayanan
Design, Automation and Test in Europe Conference and Exhibition (DATE), 2014, pp. 1--6
Karthik Swaminathan, Moon Seok Kim, Nandhini Chandramoorthy, Behnam Sedighi, Robert Perricone, Jack Sampson, Vijaykrishnan Narayanan
Design, Automation and Test in Europe Conference and Exhibition (DATE), 2014, pp. 1--6
Steep Slope Devices: Enabling New Architectural Paradigms
Karthik Swaminathan, Huichu Liu, Xueqing Li, Moon Seok Kim, Jack Sampson, Vijaykrishnan Narayanan
Proceedings of the The 51st Annual Design Automation Conference on Design Automation Conference, pp. 1--6, 2014
Karthik Swaminathan, Huichu Liu, Xueqing Li, Moon Seok Kim, Jack Sampson, Vijaykrishnan Narayanan
Proceedings of the The 51st Annual Design Automation Conference on Design Automation Conference, pp. 1--6, 2014
Understanding the landscape of accelerators for vision
Nandhini Chandramoorthy, Karthik Swaminathan, Matthew Cotter, Xueqing Li, Indranil Palit, Sharon Hu, Michael Niemier, Kevin Irick
Signal Processing Systems (SiPS), 2014 IEEE Workshop on, pp. 1--6
Nandhini Chandramoorthy, Karthik Swaminathan, Matthew Cotter, Xueqing Li, Indranil Palit, Sharon Hu, Michael Niemier, Kevin Irick
Signal Processing Systems (SiPS), 2014 IEEE Workshop on, pp. 1--6
An examination of the architecture and system-level tradeoffs of employing steep slope devices in 3D CMPs
Karthik Swaminathan, Huichu Liu, Jack Sampson, Vijaykrishnan Narayanan
Computer Architecture (ISCA), 2014 ACM/IEEE 41st International Symposium on, pp. 241--252
Karthik Swaminathan, Huichu Liu, Jack Sampson, Vijaykrishnan Narayanan
Computer Architecture (ISCA), 2014 ACM/IEEE 41st International Symposium on, pp. 241--252
2013
Steep-slope devices: From dark to dim silicon
Karthik Swaminathan, Emre Kultursay, Vinay Saripalli, Vijaykrishnan Narayanan, Mahmut T Kandemir, Suman Datta
Micro, IEEE 33(5), 50--59, IEEE, 2013
Karthik Swaminathan, Emre Kultursay, Vinay Saripalli, Vijaykrishnan Narayanan, Mahmut T Kandemir, Suman Datta
Micro, IEEE 33(5), 50--59, IEEE, 2013
2012
Design space exploration of workload-specific last-level caches
Karthik Swaminathan, Emre Kultursay, Vinay Saripalli, Vijaykrishnan Narayanan, Mahmut Kandemir
Proceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design, pp. 243--248
Karthik Swaminathan, Emre Kultursay, Vinay Saripalli, Vijaykrishnan Narayanan, Mahmut Kandemir
Proceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design, pp. 243--248
When to forget: A system-level perspective on STT-RAMs
Karthik Swaminathan, Raghav Pisolkar, Cong Xu, Vijaykrishnan Narayanan
Design Automation Conference (ASP-DAC), 2012 17th Asia and South Pacific, pp. 311--316
Karthik Swaminathan, Raghav Pisolkar, Cong Xu, Vijaykrishnan Narayanan
Design Automation Conference (ASP-DAC), 2012 17th Asia and South Pacific, pp. 311--316
Performance enhancement under power constraints using heterogeneous CMOS-TFET multicores
Emre Kultursay, Karthik Swaminathan, Vinay Saripalli, Vijaykrishnan Narayanan, Mahmut T Kandemir, Suman Datta
Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, pp. 245--254, 2012
Emre Kultursay, Karthik Swaminathan, Vinay Saripalli, Vijaykrishnan Narayanan, Mahmut T Kandemir, Suman Datta
Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, pp. 245--254, 2012
2011
Enabling architectural innovations using non-volatile memory
Vijaykrishnan Narayanan, Vinay Saripalli, Karthik Swaminathan, Ravindhiran Mukundrajan, Guangyu Sun, Yuan Xie, Suman Datta
Proceedings of the 21st edition of the great lakes symposium on Great lakes symposium on VLSI, pp. 439--444, 2011
Vijaykrishnan Narayanan, Vinay Saripalli, Karthik Swaminathan, Ravindhiran Mukundrajan, Guangyu Sun, Yuan Xie, Suman Datta
Proceedings of the 21st edition of the great lakes symposium on Great lakes symposium on VLSI, pp. 439--444, 2011
Improving energy efficiency of multi-threaded applications using heterogeneous CMOS-TFET multicores
Karthik Swaminathan, Emre Kultursay, Vinay Saripalli, Vijaykrishnan Narayanan, Mahmut Kandemir, Suman Datta
Proceedings of the 17th IEEE/ACM international symposium on Low-power electronics and design, pp. 247--252, 2011
Karthik Swaminathan, Emre Kultursay, Vinay Saripalli, Vijaykrishnan Narayanan, Mahmut Kandemir, Suman Datta
Proceedings of the 17th IEEE/ACM international symposium on Low-power electronics and design, pp. 247--252, 2011
Towards Resilient Micro-Architectures: Datapath Reliability Enhancement using STT-MRAM
Karthik Swaminathan, Ravindhiran Mukundrajan, Niranjan Soundararajan, Vijaykrishnan Narayanan
VLSI (ISVLSI), 2011 IEEE Computer Society Annual Symposium on, pp. 236--241
Karthik Swaminathan, Ravindhiran Mukundrajan, Niranjan Soundararajan, Vijaykrishnan Narayanan
VLSI (ISVLSI), 2011 IEEE Computer Society Annual Symposium on, pp. 236--241
2009
Performance optimizations for distributed real-time text indexing
Ankur Narang, Karthik Swaminathan, Prashant Agrawal
High Performance Computing (HiPC), 2009 International Conference on, pp. 398--407
Ankur Narang, Karthik Swaminathan, Prashant Agrawal
High Performance Computing (HiPC), 2009 International Conference on, pp. 398--407
Year Unknown
0
Projects and Groups
- 2018 IBM Research Workshop on Architectures for Secure, Cognitive, and Datacenter Computing
- Analog AI
- CogArch 2016 - The 2nd Workshop on Cognitive Architectures @ ASPLOS 2016
- Computer Architecture
- Elderly Home Care Solutions
- Exploiting Accelerator Diversity for Cognitive Workloads - Workshop at MICRO 2017
- Low Power Processor Microarchitectures
- Reliability and Power-Aware Microarchitectures
- Security Research
- Systems Technology and Microarchitecture
- VLSI Professional Interest Community (PIC)